Kapuk, flip-flopok helyett ill. mellett a funkcionális elemeket használjuk a tervezés során.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Kapuk, flip-flopok helyett ill. mellett a funkcionális elemeket használjuk a tervezés során."

Átírás

1 Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzıi jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

2 A funkcionális logikai elemek valamely az SSI (kapukból és flip-floppokból építkezı) tervezési tapasztalatok során letisztult egyszerő funkciót valósítanak meg. funkcionális elemek kombinációs sorrendi multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder regiszter latch számláló shiftregiszter stb... 3 állapotú meghajtó stb... Kapuk, flip-flopok helyett ill. mellett a funkcionális elemeket használjuk a tervezés során. 2

3 Multiplexer Feladata: N bementbıl kiválasztja azt az egyet, amit a címbemenetei kijelölnek. lnevezése: N/-es multiplexer Pl: 4/-es multiplexer Rajzele: MSB cím bemenetek s s kimenet y MPX 2 3 Mőködése: s s adat bemenetek Belsı felépítése: a) b) Y & & & & S S S S S S S S D D D2 D3 3

4 A 4/-es multiplexer Verilog leírása module mux4_(i, I, I2, I3, S, Y); input I; input I; input I2; input I3; input [:] S; output Y; reg Y; case (S) 2'b: Y = I; 2'b: Y = I; 2'b: Y = I2; 2'b: Y = I3; endcase endmodule 4

5 Csatorna számának növelése: s3 s2 s s y MPX 2 3 s s y MPX y MPX y MPX y MPX s s s 2 3 s 2 3 s 2 3 s 2 3 s s Csatorna szélességének bıvítése: s s A2- B2- C2- D2- s s y y y2 y MPX y MPX y MPX s s 2 3 s 2 3 s 2 3 Multiplexer mint univerzális KH: MPX 2 Y 3 S S f=a B + A B MPX f=a B C + A B C + A B 2 Y 3 S S B A C B A a.) b.) 5

6 Dekóder/demultiplexer A demultiplexer feladata, egy bemeneti jelet kiadni a címbenetekkel kijelölt kimenetre. A dekóder feladata a címbemenetekkel kijelölt kimenetének aktivizálása. Inkább a dekóder funkciót használjuk. lnevezés: n/n-es dekóder/demultiplexer A dekóder rajzjele: Mőködése: kimenetek cím bemenetek s s 2 3 DMPX In s s adat bemenet a.) b.) 6

7 A dekóder belsı felépítése: Y Y Y2 Y3 & & & & In S S S S S S S S 7

8 Leírása hardver leíró nyellevvel, VRILOG-ban: module dekoder2_4(, S, Y); input ; input [:] S; output [3:] Y; reg Y; if (~) Y = 4'b; else case (S) 2'b : Y = 4'b; 2'b : Y = 4'b; 2'b : Y = 4'b; 2'b : Y = 4'b; endcase endmodule 8

9 A dekóder bıvítése: s s s s s DK DK DK DK n s n s n s n s s s3 s2 s s 2 3 DK n n 9

10 3 állapotú meghajtó Funkciója, az engedélyezı jellel a kimenete 3. állapotba hozható, ekkor lekapcsolódik a meghajtott vezetékrıl, ahová ezután más rákapcsolódhat. BUFFR a.) bites b.) több bites Leírása hardver leíró nyellevvel, VRILOG-ban: module ThriStateBuff8(In,, Y); input [7:] In; input ; output [7:] Y; assign Y =? In : 8'bz; endmodule

11 Három állapotú kimenet Az L és H szintet aktív félvezetı kapcsolók biztosítják, de a harmadik állapotban mindekttı kikapcsol. +Ut +Ut kimenet L kimenet H N N H +Ut H kimenet Z (magas impedanciás állapot) N L

12 & & BUFFR DIR DIR a.) N b.) Uout Uh Ul Uk2 Uk Uin 2

13 Multiplexer 3 állapotú meghajtóval Centralizált felépítés: IN IN IN2 IN3 BUFFR BUFFR BUFFR BUFFR -RD ADAT -RD -RD2 -RD3 2 3 DK B A -RD A A Decentralizált felépítés: IN IN IN2 IN3 -RD BUFFR -RD BUFFR -RD2 BUFFR -RD3 BUFFR -RD A A ADAT A-A 2 3 -RD ADAT IN IN IN2 IN3 3

14 Komparátor Funkciója a bementére adott számok összehasonlítása. Ha azt is jelzi, hogy melyik a nagyobb, akkor magnitudo komparátor. < = > A In B A A2 A3 < Out = B B2 B3 > Ha csak egyenlıséget jelez, akkor egyenlıség komparátor.... A A A7 G B B Out B7 =... 4

15 4 bites komparátor VRILOG leírása: module mag_komp4(a, B, LTI, I, GTI, LTO, O, GTO); input [3:] A; input [3:] B; input LTI; input I; input GTI; output LTO; output O; output GTO; assign O = A==B & I; assign LTO = A<B A==B & LTI; assign GTO = A>B A==B & GTI; endmodule 5

16 Hagyományos kaszkádosítás A legelsı komparátor kaszkádosító bemeneteit úgy kell beállítani, mintha egy elızı komparátor egyenlıséget jelezne. MSB A7 A6.. A A LSB A<B < A3 A2 A A < < A3 A2 A A < A=B = Out In = = Out In = A>B > B3 B2 B B > > B3 B2 B B > B7 B6.. B B Komparátorral a 2-es komplemensben ábrázolt számokat az elıjel bit invertálása után tudjuk összehasonlítani (offszet kóddá transzformálás). 6

17 Gyorsított kaszkádosítás A hagyományos kaszkádosítás hátránya, hogy a kaszkádosított egységek késletetési ideje összeadódik. Itt 4 bites komparátorokkal gyors 24 bites komparátor. A kapcsolás olyan komparátort tételez fel, amelynek < és > bementére egyszerre ugyanazt kapcsolva, annak < és > kimenete egyforma logikai értéket ad, ha A=B. A>B A=B A<B. > = < A B 3 2 > = < szint. szint 3. > = < 5. > = < A B A B 3 2 > = < > = < 2 3 A9 A7 A5 B5 B7 B9 A8 A6 L B6 B8 A9 A8 A7 A5 A6 B5 B7 B9 L B6 B8 2. > = < 4. > = < 6. > = < A B A B A B 3 2 > = < > = < > = < 2 3 A24 A22 A2 B2 B22 B24 A23 A2 L B2 B23 A4 A2 A B B2 B4 A3 A L B B3 A4 A3 A2 A L L B2 B4 H B B3 7

18 Összeadó Funkciója: Az összeadó két n bites (elıjel nélküli abszolútértékes vagy 2-es komplemens ábrázolású) bináris szám összeadása. += C=, +=+= C=, += C= Pl: Paramétere: - szószélesség (hány bites): n Bemenetei: - összeadandó számok (A, B) 2 x n bit Ci átvitel bemenet Kimenetei: - eredmény S (SUM) n bit - Co átvitel 8

19 Rajzjele: MSB A3 A2 A A S S S2 S3 A3 A2 A A Co B3 B2 B B Ci B3 B2 B B 4 bites összeadó VRILOG leírása: module add4(a, B, CI, S, CO); input [3:] A; input [3:] B; input CI; output [3:] S; output CO; assign {CO,S} = A + B + CI; endmodule 9

20 Két 4 bites összeadó kaszkádosítása A legelsı összeadó Cin bemenetére -át kell kötni. S8 S A7 A6 A5 MSB A4 A3 A2 A MSB A S S S2 S3 A3 A2 A A S S S2 S3 A3 A2 A A Co B3 B2 B B Ci Co B3 B2 B B Ci B7 B6 B5 B4 B3 B2 B B Sok bit esetén az átvitel soros terjedése nagy késleltetést okoz. lkerülése: átvitel gyorsítással S2 S S B Σ A S C B Σ A S C B Σ A S C C C carry logika carry logika B2 A2 B A B A Cin 2

21 BCD/7szegmenses dekóder A meghajtandó LD kijelzı: a A f g b e d c h a b c d e f g h A dekóder rajzjele: N D C B A BCD/7sz g f e d c b a OC. Alkalmazása: Ut N g OC. R D3 D2 D D D C B A BCD/7sz f e d c b a 2

22 7 szegmenses dekóder Verilog leírása module Seg7Dek(HXin, Seg7Ou); input [3:] HXin; output [6:] Seg7Ou; reg Seg7Ou; // 7-segment encoding // // --- // 5 // --- <--6 // 4 2 // --- // 3 case (HXin) 4'b : Seg7Ou = 7'b; // 4'b : Seg7Ou = 7'b; // 2 4'b : Seg7Ou = 7'b; // 3 4'b : Seg7Ou = 7'b; // 4 4'b : Seg7Ou = 7'b; // 5 4'b : Seg7Ou = 7'b; // 6 4'b : Seg7Ou = 7'b; // 7 4'b : Seg7Ou = 7'b; // 8 4'b : Seg7Ou = 7'b; // 9 4'b : Seg7Ou = 7'b; // A 4'b : Seg7Ou = 7'b; // b 4'b : Seg7Ou = 7'b; // C 4'b : Seg7Ou = 7'b; // d 4'b : Seg7Ou = 7'b; // 4'b : Seg7Ou = 7'b; // F default : Seg7Ou = 7'b; // endcase endmodule 22

23 Sorrendi funkcionális elemek (tároló tulajdonságú elemek) Regiszter (közös órajelő D flip-flopok) adat kimenet élérzékeny órajel bemenet D 2 D D2 3 D3 adat bemenet module D_RG(clk, D, ); input clk; input [3:] D; output [3:] ; reg ; clk) <= D; endmodule 23

24 Latch (közös G-jő D-G flip-flopok) adat kimenet szintérzékeny órajel bemenet G D 2 D D2 3 D3 adat bemenet module D_LATCH(G,D, ); input G; input [3:] D; output [3:] ; reg ; or D)) if (G) = D; endmodule 24

25 ngedélyezhetı regiszter adat kimenet i engedélyezõ bemenet 2 3 D D D2 D3 adat bemenet clk D MPX S clk Regiszterek beírása buszról (centralizált felépítés): Di OUT OUT OUT2 OUT RGISZR RGISZR RGISZR RGISZR ADAT -WR -WR2 -WR3 -WR 2 3 DK S S LSB -WR A A (CÍM) CÍM 2 ADAT ADAT ADAT ADAT2 -WR -WR -WR -WR2 OUT ADAT OUT ADAT OUT2 ADAT2 25

26 ngedélyezhetı regiszterek beírása buszról (centralizált felépítés): OUT OUT OUT2 OUT RGISZR RGISZR RGISZR RGISZR clk ADAT WR WR2 WR3 WR 2 3 DK S S LSB WR A A (CÍM) CÍM 2 ADAT ADAT ADAT ADAT2 clk WR WR WR WR2 OUT ADAT OUT ADAT OUT2 ADAT2 26

27 Decentralizált felépítés: DATA DATA DATA2 DATA3 RG RG RG RG -WR A A ADAT 27

28 Számlálók A számlálók (számláló üzemmódjára jellemzı) állapotgráfja győrő alakú. Pl. 6-os számláló állapotgráfja: A számláló az órajeleit számolja. A modulusa, a ciklus hossza. Modulus alapján: bináris, decimális, egyéb (2-es, 6-os stb.) 28

29 Aszinkron számláló Aszinkron bináris felfele számláló, aszinkron törléssel: 2 T Cl Cl Cl T T clk Cl clk 2 Az egyes kimenetek egyre jobban késnek az órajelhez képest. 29

30 Aszinkron bináris lefele számláló, aszinkron törléssel: 2 T Cl Cl Cl T T clk Cl clk 2 3

31 Szinkron számláló Szinkron bináris felfele számláló belsı felépítése: 2 T T T clk clk 2 A kimenetek egyszerre váltanak, késleltetésük kicsi az órajelhez képest. 3

32 Szinkron bináris lefele számláló belsı felépítése: 2 T T T clk 32

33 Szinkron engedélyezhetı bináris felfele számláló belsı felépítése: 2 T T T clk module UPCOUNTR(clk,, ); input clk; input ; output [3:] ; reg ; clk) if () <= +; endmodule 33

34 Szinkron bináris, engedélyezhetı és tölthetı felfele számláló funkcionális felépítése: 2 D D D clk MPX s MPX s MPX s -LD D2 D D T2 T T module UPCOUNTRLD(clk,, LD, D, ); input clk; input ; input LD; input [3:] D; output [3:] ; reg ; clk) if(ld) <= D; else if () <= +; endmodule 34

35 Szinkron bináris, engedélyezhetı és tölthetı fel-le számláló funkcionális felépítése: 2 D D D clk MPX s MPX s MPX s -LD D2 D D T2 T T MPX s MPX s DIR (UP/-DOWN) module UDCOUNTRLD(clk,, LD, DIR, D, ); input clk; input ; input LD; input DIR; input [3:] D; output [3:] ; reg ; clk) if (LD) <= D; else if () begin if (DIR) <= +; else <= -; end endmodule 35

36 Számlálók vezérlı jelei lehetnek: CL: törlés LD: betöltés n: engedélyezés (csak szinkron esetben) DIR: számlálási irány Számlálók kimeneti jelei lehetnek: CY(carry): felfele számláló végállapota Bináris számlálónál CY =..2. BO(borrow): lefele számláló végállapota BO = /././2. Max/min: fel-le számláló végállapotai Bináris számlálónál M/m=DIR /DIR./././2.. RCY: ripple carry RCY =.CY RCO: ripple clock RCO = /(.CY./CLK) 36

37 Számlálók kaszkádosítása (modulus növelés) 3db 4 bites bináris számláló mőködése Aszinkron kaszkádosítások: d c SZ b a d c SZ b a clk RCO CY a b c d a b c d SZ Cl SZ Cl Cl CLK CLOCK SZ CY RCO SZ A kaszkádosított számlálók modulusai összeszorzódnak. 37

38 Szinkron kaszkádosítások: RCY CY a b c d a b c d SZ Cl SZ Cl Cl CLK T CLOCK SZ Carry SZ t 5 6 t h 38

39 A carry soros terjesztése: RCY2 RCY RCY CY CY CY CLK CLK CLK Az engedélyezés az MSB felé egyre jobban késik az órajelhez képest. CLK Gyorsított kaszkádosítások A CY párhuzamos elıállításával: RCY2 RCY RCY CY CY CY CLK CLK CLK CLK A legelsı CY elırecsatolásával: minden elõzõ végállapotban és engedélyezett legelsõ kivételével mindenki végállapotban és engedélyezve CY CLK CY CLK CY CLK CY CLK clk legelsõ végállapotban 39

40 Moduluscsökkentési eljárások N Clock KOMP = SZ Cy Clock Clk Ld SZ Cl Clk szinkron Cl N U/DMax/min Down SZ Clk Ld Clock szinkron LD szinkron LD N MODULUS=N+ MODULUS=Végállapot+-N _ MODULUS=N+ MODULUS=N+ bin. száml. esetén N 2 n - N N t t t a.) -tól N-ig b.) N-tõ TOP-ig c.) N-tõl -ig Mintapéldák: Készítsünk 6-os szinkron tölthetı lefele számlálóból 9-es modulusút. A kódolása 8-. Mm U/D d c b a Dd Dc Db Da Ld RST clk 4

41 Készítsünk 6-os szinkron törléső, engedélyezhetı felfele számlálókból 67- os modulusút. A kódolása legyen D = B Kaszkádosítunk, majd modulust csökkentünk. MSB d c b a d c b a Cl Cl RCY RCY clk 4

42 A shiftregiszter gy balra shiftelı SHR belsı felépítése: c b a shift output D D D clk clk clk Cl Cl Cl Si clock Cl Állapotgráfja: kezdõ állapot Mőködésének idıdiagramja: T CLK Si t su D2 D3 a/db D t dc D2 D3 b/dc D D D2 42

43 Tölthetı 4 bites SHR Verilog leírása module SHR6(clk,, LD, SI, D, ); input clk; input ; input LD; input SI; input [3:] D; output [3:] ; reg ; <clock>) if (LD) <= D; else if () begin [3:]=[2:]; [] <= SI; end endmodule 43

44 Tölthetı, engedélyezhetı, jobbra-balra shiftelı shiftregiszter belsı felépítése: d c b a clock D D D D MPX MPX MPX MPX S SIR Da a b a Db b c b Dc c d c Dd d SIL S SS jobbra shift (SHR) balra shift (SHL) betölt (LOAD) tart (HOLD) 44

45 Shiftregiszter mint számláló Győrős számláló: a b c d SI SHR LD/S A B C D RST A számláló kódolása a, b, c, d:,,, N bites SHR esetén a modulusa: N Johnson számláló: a b c d SI SHR LD/S A B C D RST A számláló kódolása a, b, c, d:,,,,,,, Modulusa N bites SHR esetén: 2N 45

46 Önkorrigáló Johnson számláló a b c d SI SHR LD/S A B C D Néhány órajelen belül tetszıleges állapotból beletalál a normál ciklusba. Pl:,,,, Álvéletlen generátor MOD 2 visszacsatolt shiftregiszterrel SI a b c d SHR LD/S A B C D RST CLK Kódolása:,,,,,,,,,,,,,, Modulusa N bites SHR és maximális ciklushosszú visszacsatolás esetén: 2 N - 46

47 Memória elemek: MMÓRIÁK ROM (csak olvasható) RAM (írható-olvasható) PROM (egyszer írható, OTP) -mask programozott -felhasználó által programozott PROM (UV-vel törölhetõ) statikus RAM dinamikus RAM PROM (elektromosan szavanként törölhetõ) FLASH (elektromosan szektoronként törölhetõ, nagy sûrûségû) Jellemzık: szószélesség, byte szám, hozzáférési idı ROM dekóder szavak.. An-A An-A Dm-D CS m. RD CS RD & n meghajtó Dm-D a.) Olvasás idıdiagramja: b.) CS RD t RD CÍM ADAT STABIL t AA t CA t AO t DF 47

48 ROM mint univerzális KH: f 2 f cím D3 D2 D D x x x x x x x x A3 A2 A A B x x x x A C f x x x x A B C x x x x x x x x O CS a.) f 2 = AB + AC= ABC + ABC+ ABC+ ABC b.) A tartalom az igazságtábla. 48

49 RAM.. szavak An-A DK m. An-A CS RD WR Dm-D a.) RD CS W & & DIR Statikus RAM írási ciklusa: b.) meghajtó n Dm-D CS t CW t WR WR t AS t DS t DH CÍM ADAT t t STABIL WP WC 49

50 Memóriák szószélességének növelése D D5 A D... D7 RD D RD... D7 CS WR WR CS A A An A A An WR RD An CS Memóriák kapacitásának növelése An-A O WR O RAM O RAM O RAM O RAM CS W CS W CS W CS W Dm-D CS 2 3 DK B A LSB An+2 An+ 5

51 Példák funkcionális elemekkel felépített logikákra: PWM (változtatható kitöltési tényezıjő jel) elıállítása. N B KOMP A A>B OUT SZ clk TOP N t OUT t 5

52 Idımultiplexált kijelzı megvalósítása Vcc MPX ADAT3 D3_3- ADAT2 D2_3- ADAT D_3- MSB ADAT D_3- S S BCD/7sz D C B A a b c d e f g OC. 7sz.adat SZ clk 3 DK B 2 A OC. OC. OC. OC. -N3 -N2 -N -N sz 3 2 7sz. adat ADAT ADAT ADAT2 3 ADAT3 -N -N -N2 -N3 52

53 Mátrix billentyőzet kezelése Vcc egy billntyû bekötése sor számláló MSB SZ N DK 2 S 3 S CLK RCY SZ N oszlop sz. 2 3 S MPX S Y CLK K J Cl biilentyû kód RADY STRB 53

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

Digitális hálózatok: Digitális hálózati elemek struktúrális felépítése, CMOS alkalmazástechnika. Somogyi Miklós

Digitális hálózatok: Digitális hálózati elemek struktúrális felépítése, CMOS alkalmazástechnika. Somogyi Miklós Digitális hálózatok: Digitális hálózati elemek struktúrális felépítése, CMOS alkalmazástechnika Somogyi Miklós Kombinációs hálózatok tervezése A logikai értékek és műveletek Két-értékes rendszerek: Állítások:

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLI TECHNIKA 7 Előadó: r. Oniga István zekvenciális (sorrendi) hálózatok zekvenciális hálózatok fogalma Tárolók tárolók JK tárolók T és típusú tárolók zámlálók zinkron számlálók Aszinkron számlálók

Részletesebben

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai Közlekedés gépjárművek elektronikája, diagnosztikája Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai TÁMOP-2.2.3-09/1-2009-0010 A Széchenyi István Térségi Integrált Szakképző

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Az integrált áramkörök kimenetének kialakítása

Az integrált áramkörök kimenetének kialakítása 1 Az integrált áramörö imeneténe ialaítása totem-pole three-state open-olletor Az áramörö általános leegyszerűsített imeneti foozata: + tápfeszültség R1 V1 K1 imenet V2 K2 U i, I i R2 ahol R1>>R2, és K1,

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje!

Részletesebben

2. Digitális hálózatok...60

2. Digitális hálózatok...60 2 60 21 Kombinációs hálózatok61 Kombinációs feladatok logikai leírása62 Kombinációs hálózatok logikai tervezése62 22 Összetett műveletek használata66 z univerzális műveletek alkalmazása66 kizáró-vagy kapuk

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

DIGITÁLIS TECHNIKA 7-ik előadás

DIGITÁLIS TECHNIKA 7-ik előadás IGITÁLI TECHNIKA 7-ik előadás Előadó: r. Oniga István Egyetemi docens 2/2 II félév zekvenciális (sorrendi) hálózatok zekvenciális hálózatok fogalma Tárolók tárolók JK tárolók T és típusú tárolók zámlálók

Részletesebben

Irányítástechnika 1. 5. Elıadás. Félvezetıs logikai áramkörök. Irodalom

Irányítástechnika 1. 5. Elıadás. Félvezetıs logikai áramkörök. Irodalom Irányítástechnika 1 5. Elıadás Félvezetıs logikai áramkörök Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Helmich József: Irányítástechnika I, 2005 Félvezetıs logikai elemek Logikai szintek

Részletesebben

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István LOGIKI TERVEZÉS PROGRMOZHTÓ ÁRMKÖRÖKKEL Elő Előadó: Dr. Oniga István Funkcionális kombinációs ió egységek következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

10-11. hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok esetén

10-11. hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok esetén Pannon Egyetem Villamosmérnöki és Információs Tanszék Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc) 10-11. hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 1 Az FPGA eszközök bemeneti jeleit gyakran mechanikai kapcsolókkal hozzuk létre. Használható váltókapcsoló, amely a nulla és az egyes logikai

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

tetszőleges időpillanatban értelmezhető végtelen sok időpont értéke egy véges tartományban bármilyen értéket felvehet végtelen sok érték

tetszőleges időpillanatban értelmezhető végtelen sok időpont értéke egy véges tartományban bármilyen értéket felvehet végtelen sok érték Elektronika 2 tetszőleges időpillanatban értelmezhető végtelen sok időpont értéke egy véges tartományban bármilyen értéket felvehet végtelen sok érték Diszkrét időpillanatokban értelmezhető (időszakaszos)

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2..év hó nap NÉV:...neptun kód:.. Kurzus: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Verilog HDL ismertető 4. hét : hét dia

Verilog HDL ismertető 4. hét : hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 4. hét : 1.-3. hét + 41 61 dia Fehér Béla, Raikovich

Részletesebben

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise.

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise. . KOMBINÁCIÓS HÁLÓZATOK A tananyag célja: kombinációs típusú hálózatok analízise és szintézise. Elméleti ismeretanyag: Dr. Ajtonyi István: Digitális rendszerek I. 2., 5., 5.2. fejezetek Elméleti áttekintés..

Részletesebben

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus A 10/07 (II. 27.) SzMM rendelettel módosított 1/06 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa Fehér Béla

Részletesebben

Mielıtt használná termékünket 702008035. Az eltérı környezeti körülmény elektromos áramütést, tüzet, hibás mőködést vagy. okozhat.

Mielıtt használná termékünket 702008035. Az eltérı környezeti körülmény elektromos áramütést, tüzet, hibás mőködést vagy. okozhat. . Adatlap G rogrammable ogic Controller GOFA-GM Sorozat GM-DR20/0/0/0A Mielıtt használná termékünket 02000 Olvassa el ezt az adatlapot figyelmesen különösen ügyelve a kezelésre, beépítésre, beszerelésre

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport Beugró kérdések: 1. USART jelalak (TdX) felrajzolása adott paritás és adott számú STOP bit mellett egy kétjegyű hexa szám átvitelére. 2. RST7.5, TRAP és INT megszakítási bemenetek összehasonlítása tilthatóság

Részletesebben

Adatok ábrázolása, adattípusok. Összefoglalás

Adatok ábrázolása, adattípusok. Összefoglalás Adatok ábrázolása, adattípusok Összefoglalás Adatok ábrázolása, adattípusok Számítógépes rendszerek működés: információfeldolgozás IPO: input-process-output modell információ tárolása adatok formájában

Részletesebben

Digitális technika mintafeladatok és megoldásuk

Digitális technika mintafeladatok és megoldásuk udapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Irányítástechnika és Informatika Tanszék http://www.iit.bme.hu MS felvételi előkészítő tanfolyam igitális technika mintafeladatok

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Verilog HDL ismertető

Verilog HDL ismertető BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 1. hét: 1 14 diák 2. hét: 15 25 diák 3. hét: 26

Részletesebben

Digitális Áramkörök. Pannon Egyetem Villamosmérnöki és Információs Tanszék. (Villamosmérnök BSc / Mechatronikai mérnök MSc)

Digitális Áramkörök. Pannon Egyetem Villamosmérnöki és Információs Tanszék. (Villamosmérnök BSc / Mechatronikai mérnök MSc) Pannon Egyetem Villamosmérnöki és Információs Tanszék Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc) 12. hét Kombinációs hálózatok építőelemei MSI megvalósításban. Egyszerű aritmetikai

Részletesebben

Mikrokontrollerek. Tihanyi Attila 2007. május 8

Mikrokontrollerek. Tihanyi Attila 2007. május 8 Mikrokontrollerek Tihanyi Attila 2007. május 8 !!! ZH!!! Pótlási lehetőség külön egyeztetve Feladatok: 2007. május 15. Megoldási idő 45 perc! Feladatok: Első ZH is itt pótolható Munkapont számítás Munkapont

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

Az INTEL D-2920 analóg mikroprocesszor alkalmazása

Az INTEL D-2920 analóg mikroprocesszor alkalmazása Az INTEL D-2920 analóg mikroprocesszor alkalmazása FAZEKAS DÉNES Távközlési Kutató Intézet ÖSSZEFOGLALÁS Az INTEL D 2920-at kifejezetten analóg feladatok megoldására fejlesztették ki. Segítségével olyan

Részletesebben

Programozás I. - 9. gyakorlat

Programozás I. - 9. gyakorlat Programozás I. - 9. gyakorlat Mutatók, dinamikus memóriakezelés Tar Péter 1 Pannon Egyetem M szaki Informatikai Kar Rendszer- és Számítástudományi Tanszék Utolsó frissítés: November 9, 2009 1 tar@dcs.vein.hu

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 7. VHDL FELADATOK: Speciális nyelvi szerkezetek. Sorrendi

Részletesebben

Boundary Scan. Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága

Boundary Scan. Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága Boundary Scan Elméleti alapok Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága A peremfigyelés alapelve, alapfogalmai Néhány alapvetõ részlet bemutatása A peremfigyeléses áramkörök vezérlése

Részletesebben

Digitális technika 1. Tantárgykód: VIIIA105 Villamosmérnöki szak, Bsc. képzés. Készítette: Dudás Márton

Digitális technika 1. Tantárgykód: VIIIA105 Villamosmérnöki szak, Bsc. képzés. Készítette: Dudás Márton Digitális technika 1 Tantárgykód: VIIIA105 Villamosmérnöki szak, Bsc. képzés Készítette: Dudás Márton 1 Bevezető: A jegyzet a BME VIK első éves villamosmérnök hallgatóinak készült a Digitális technika

Részletesebben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék FPGA & Verilog ismertetı BME Méréstechnika és Információs Rendszerek Tanszék FPGA-k FPGA: Field Programmable Gate Array programozható logikai áramkör Jelentısebb gyártók: Xilinx, Altera, Actel, Quicklogic,

Részletesebben

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót VHDL szimuláció Labor II. Dr. Hidvégi Timót Tervezés 1 Lefoglalt szavak abs access after alias all and architecture array assert attribute block body buffer bus case component configuration constant disconnect

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

4-1. ábra. A tipikus jelformáló áramkörök (4-17. ábra):

4-1. ábra. A tipikus jelformáló áramkörök (4-17. ábra): 3.1. A digitális kimeneti perifériák A digitális kimeneti perifériákon keresztül a számítógép a folyamat digitális jelekkel működtethető beavatkozó szervei számára kétállapotú jeleket küld ki. A beavatkozó

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

Az éves statisztikai összegezés. Statisztikai összegezés az éves közbeszerzésekről a Kbt. IV. és VI. fejezete szerinti ajánlatkérők vonatkozásában

Az éves statisztikai összegezés. Statisztikai összegezés az éves közbeszerzésekről a Kbt. IV. és VI. fejezete szerinti ajánlatkérők vonatkozásában 9. melléklet a 14/2010. (X.29.) NFM rendelethez Az éves statisztikai összegezés Statisztikai összegezés az éves közbeszerzésekről a Kbt. IV. és VI. fejezete szerinti ajánlatkérők vonatkozásában I. SZAKASZ:

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Sín műveletek z eddigiek közönséges műveletek voltak. lokkos átvitel (3.4. ábra): kezdő címen kívül az adatre kell tenni a mozgatandó adatok számát. Esetleges várakozó ciklusok után ciklusonként egy adat

Részletesebben

A döntő feladatai. valós számok!

A döntő feladatai. valós számok! OKTV 006/007. A döntő feladatai. Legyenek az x ( a + d ) x + ad bc 0 egyenlet gyökei az x és x valós számok! Bizonyítsa be, hogy ekkor az y ( a + d + abc + bcd ) y + ( ad bc) 0 egyenlet gyökei az y x és

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Vezérlőegység. Dr. Seebauer Márta. Budapesti Műszaki Főiskola Regionális Oktatási és Innovációs Központ Székesfehérvár

Vezérlőegység. Dr. Seebauer Márta. Budapesti Műszaki Főiskola Regionális Oktatási és Innovációs Központ Székesfehérvár Budapesti Műszaki Főiskola Regionális Oktatási és Innovációs Központ Székesfehérvár Vezérlőegység Dr. Seebauer Márta főiskolai tanár seebauer.marta@roik.bmf.hu Vezérlés A vezérlés célja az utasítás-végrehajtás

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

µ chiller Kompakt hőtésszabályozó Kezelési utasítás

µ chiller Kompakt hőtésszabályozó Kezelési utasítás µ chiller Kompakt hőtésszabályozó Kezelési utasítás Tartalom 1. Általános információk...3 2. A kezelı rész...3 2.1 A kijelzı...3 2.2 Állapotkijelzı...3 2.3 Billentyőzet...4 2.4 Programozás...4 2.5 A billentyők

Részletesebben

Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

A Verilog HDL áttekintése

A Verilog HDL áttekintése Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A Verilog HDL áttekintése

Részletesebben

Köszönjük, hogy az Axiomet AX-3004H kapcsolóüzemű DC Tápegységet választotta, kérjük használat előtt figyelmesen olvassa el az útmutatót.

Köszönjük, hogy az Axiomet AX-3004H kapcsolóüzemű DC Tápegységet választotta, kérjük használat előtt figyelmesen olvassa el az útmutatót. 1. Bevezetés Köszönjük, hogy az Axiomet AX-3004H kapcsolóüzemű DC Tápegységet választotta, kérjük használat előtt figyelmesen olvassa el az útmutatót. 2. Biztonság A kézikönyv fontos biztonsági és használati

Részletesebben

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Verilog RTL kódolás Fehér

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Digitális technika VIMIAA01 5. hét

Digitális technika VIMIAA01 5. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 1

Digitális technika (VIMIAA01) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő)

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő) Memóriák (felejtő) Memória Kapacitás Ár Sebesség Memóriák - tárak Háttértár (nem felejtő) Memória Vezérlő egység Központi memória Aritmetikai Logikai Egység (ALU) Regiszterek Programok Adatok Ez nélkül

Részletesebben

Kibernetika korábbi vizsga zárthelyi dolgozatokból válogatott tesztkérdések Figyelem! Az alábbi tesztek csak mintául szolgálnak a tesztkérdések megoldásához, azaz a bemagolásuk nem jelenti a tananyag elsajátítását

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

K_EITS8, Multichannel Impedance Meter 2013.08.05. K_EITS8, nyolc csatornás elektromos impedancia mérő berendezés

K_EITS8, Multichannel Impedance Meter 2013.08.05. K_EITS8, nyolc csatornás elektromos impedancia mérő berendezés , Multichannel Impedance Meter 2013.08.05., nyolc csatornás elektromos impedancia mérő berendezés (, 8 ch electrical impedance tomography & spectroscope) A természetben előforduló anyagok (kőzetek, élő

Részletesebben

Az éves statisztikai összegezés STATISZTIKAI ÖSSZEGEZÉS AZ ÉVES KÖZBESZERZÉSEKRŐL A KLASSZIKUS AJÁNLATKÉRŐK VONATKOZÁSÁBAN

Az éves statisztikai összegezés STATISZTIKAI ÖSSZEGEZÉS AZ ÉVES KÖZBESZERZÉSEKRŐL A KLASSZIKUS AJÁNLATKÉRŐK VONATKOZÁSÁBAN 11. melléklet a 92/2011. (XII.30.) NFM rendelethez Az éves statisztikai összegezés STATISZTIKAI ÖSSZEGEZÉS AZ ÉVES KÖZBESZERZÉSEKRŐL A KLASSZIKUS AJÁNLATKÉRŐK VONATKOZÁSÁBAN I. SZAKASZ: AJÁNLATKÉRŐ I.1)

Részletesebben

Verilog ismertető (Szántó Péter, BME MIT, )

Verilog ismertető (Szántó Péter, BME MIT, ) Verilog ismertető (Szántó Péter, BME MIT, 2006-09-17) Tartalomjegyzék 1. Bevezetés...1 2. Verilog nyelvi elemek...2 2.1. Modulok definiálása...2 2.2. Operátorok...3 2.3. Változók, értékadások...4 2.3.1.

Részletesebben

Lineáris algebra gyakorlat

Lineáris algebra gyakorlat Lineáris algebra gyakorlat 3 gyakorlat Gyakorlatvezet : Bogya Norbert 2012 február 27 Bogya Norbert Lineáris algebra gyakorlat (3 gyakorlat) Tartalom Egyenletrendszerek Cramer-szabály 1 Egyenletrendszerek

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

EUROFLEX-33 ESEMÉNY NYOMTATÓ. -felhasználói és telepítői leírás-

EUROFLEX-33 ESEMÉNY NYOMTATÓ. -felhasználói és telepítői leírás- EUROFLEX-33 ESEMÉNY NYOMTATÓ -felhasználói és telepítői leírás- EUROFLEX-33 ESEMÉNY NYOMTATÓ V1.0 ÉS V1.1 - FELHASZNÁLÓI ÉS TELEPÍTŐI LEÍRÁS 2 Tartalomjegyzék 1. SZOLGÁLTATÁSOK...3 1.1 EUROFLEX-33 HARDVER...3

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

JUMO. Beépíthetõ ház DIN 43 700 szerint. Rövid leírás. Blokkvázlat. Sajátságok. JUMO dtron 16.1

JUMO. Beépíthetõ ház DIN 43 700 szerint. Rövid leírás. Blokkvázlat. Sajátságok. JUMO dtron 16.1 JUMO dtron 16.1 kompakt mikroprocesszoros szabályozó 1. Oldal Beépíthetõ ház DIN 43 700 szerint Rövid leírás A JUMO dtron 16.1 típusú kompakt mikroprocesszoros szabályozók, 48 mm x 48 mm méretû elõlap-kerettel

Részletesebben

Villamosmérnöki BSc Záróvizsga tételsor Módosítva 2016. január 6. DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK

Villamosmérnöki BSc Záróvizsga tételsor Módosítva 2016. január 6. DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK 1. A Boole algebra axiómái és tételei. Logikai függvények megadása. A logikai függvények fajtái. Egyszerősítés módszerei. 2. A logikai függvények kanonikus alakjai. Grafikus

Részletesebben

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK Misák Sándor PROGRAMOZHATÓ LOGIKAI VEZÉRLİK 4. elıadás DE TTK v.0.1 (2011.10.05.) A PROGRAMOZHATÓ VEZÉRLİK HARDVERFELÉPÍTÉSE II. 1. A PLC-k illesztése az irányítandó objektumhoz; 2. Általános ismeretek

Részletesebben

Technológia funkciók Számláló, PWM

Technológia funkciók Számláló, PWM 10. Laborgyakorlat Technológia funkciók Számláló, PWM A gyakorlat célja A technológiai funkciók olyan software vagy hardware eszközök, amelyek segítségével egy adott folyamatirányítási feladat könnyen

Részletesebben

µflow 100 Áramlás számító egység

µflow 100 Áramlás számító egység µflow 100 Áramlás számító egység µflow 100 Gáz µflow 100 Gőz Kompakt kompenzációszámító gázokra és gőzökre letárolt összenyomhatósági táblázatokkal Gyártó: RFA Industrietechnik e. K. D-82362 Weilheim Web:

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 4. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre VEZÉRLŐK Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. A fealdatot

Részletesebben

Számítógépes alapismeretek

Számítógépes alapismeretek Számítógépes alapismeretek Heti óraszáma: 2 (Bagoly Zsolt, Papp Gábor) + (Barnaföldi Gergely) A tantárgy célja: korszerű információtechnológiai alapismeretek elsajátítása megismerkedés az informatikai

Részletesebben