12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON"

Átírás

1 12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 1

2 Az FPGA eszközök bemeneti jeleit gyakran mechanikai kapcsolókkal hozzuk létre. Használható váltókapcsoló, amely a nulla és az egyes logikai szintnek megfelelő értékek közül az egyiket továbbítja az FPGA adott kivezetésére vagy egyetlen érintkezővel épített kapcsoló, amely a tápfeszültséggel- és a felhúzó/lehúzó ellenállással definiált szintet az ellenkező logikai értékre húzza bekapcsoláskor. 2

3 Minden esetben számítanunk kell a mechanikai érintkező pergésére, ami abból áll, hogy a logikai szint, kapcsolás közben, nem egyszer módosul, hanem többször oda-vissza vált (ábra), mire megnyugszik. 3

4 A kapcsoló pergése sok esetben a digitális berendezés téves működéséhez vezet. Például, ha egy lefutó élre működő számláló bemenetére vezetjük a fenti jelet, az egy helyett hetet fog lépni. Ráadásul a pergés egyedi, nem ismétlődő jelenség, így a berendezés válasza is esetrőlesetre változó. Ilyesmi, természetesen, nem engedhető meg, a digitális berendezésektől hibátlan és ismételhető viselkedést várunk el. 4

5 Az alábbi példában olyan megoldást mutatunk be, amely a kapcsoló egy aktiválásakor / deaktiválásakor egy felfutó vagy lefutó élt hoz létre, figyelmen kívül hagyva az FPGA bemeneti jelének a kapcsoló okozta pergését. Ezt a feladatot mindjárt a jel fogadását követően kell megoldani, hogy a további fokozatok működése zavartalan legyen. 5

6 12.1. ÚJ PROJEKT LÉTREHOZÁSA Az új projekt létrehozása ugyanúgy történik, ahogy azt a 10.1 pontban láttuk. A beállítások ablakban (Project Settings) a korábban megnyitott projekt beállításai jelennek meg. Ha nem akarunk áttérni másik FPGA eszközre, akkor nem kell semmit igazítani a beállításokon. 6

7 12.2. FELADAT DEFINIÁLÁSA A Basys2 fejlesztőlapon négy nyomógomb található az alábbi bekötésben: 7

8 Ezek közül a BTN0 jelölésű nyomógombot fogjuk használni. Az R42 ellenálláson keresztül a VCC3V3 táp magas logikai szintre húzza a nyomógomb és az ellenállás közötti pontot. Az IC8A logikai inverter ilyenkor alacsony logikai szintet hoz létre, ezt a BTN0 bemenetre továbbítja. Az R29 ellenállás és a C63 kondenzátor aluláteresztő szűrőként viselkedik. 8

9 A gomb minden lenyomásakor egy belső decimális számlálónak egyet kell felfelé számlálnia, a tartalmat a DSP0 kijelzőn kell megjeleníteni. Pergésmentesítés nélkül a számláló össze-vissza számlálna, mert egy-egy gombnyomáskor egynél több (változó számú) impulzus érkezne a számláló bemenetére. 9

10 A pergésmentesítés megoldására számos javaslatot találunk a szakirodalomban. Az itt leírt megoldás digitális átlagolásnak felel meg. A nyomógombbal képzett bemeneti jelet az órajel minden felfutó élénél beolvassuk, összesen fél millió alkalommal egy kiértékeléshez. Tekintettel az 50 MHz-es órajelre, minden 10 ms-os intervallum végén történik egy kiértékelés. 10

11 Ha a beolvasott érték az adott órajel periódusban logikai egyes, eggyel növeljük egy belső számláló tartalmát, nulla esetére tartjuk az addig elért értéket. Ha az intervallum (10 ms) végén az átlagolást végző számláló tartalma vagy annál nagyobb, tekinthető úgy, hogy a kapcsoló megnyugodott a magas logikai szinten, ill. közel van a megnyugváshoz. Kisebb tartalom esetén a logikai szintet alacsonynak nyilvánítjuk. A belső számláló tehát a gombnyomásokat számlálja. 11

12 12.3. TERV HDL LEÍRÁSA A pergésmentesítést végző egység és a decimális számláló Verilog nyelvi leírását alább láthatjuk. A leírás kezdetén deklaráljuk az adathordozókat, majd példányosítjuk az órajel modult. Ez esetben nem szükséges sem az órajel frekvenciájának növelése sem a csökkentése, ugyanakkor az egész terv egy órajel tartományban (domén) működhet, ami jelentősen egyszerűsíti a leírást. 12

13 A kijelző AN1, AN2, AN3 vezérlőjeleit egyesre kell állítani, hogy csak a jobb oldali kijelző legyen aktív, ezen kívánjuk megjeleníteni a számláló tartalmát (DSP0). Az első always eljárásban végezzük a szegmenseket meghajtó jelek (DSP0_To_Display) képzését a számláló pillanatnyi tartalma alapján. Nem szükséges ezt másodpercenként ötven milliószor végezni (ezt jelenti az érzékenységi listában a posedge Clk50MHz), ez adja a legegyszerűbb HDL leírást és szintetizálandó hálózatot. 13

14 Az első always eljárást követő deklarációk a második eljárásban szereplő adathordozókat deklarálják. A második always eljárás végzi a nyomógomb állapotának átlagolását. Az átlagolást 10ms-ig végezzük, remélve, hogy a kapcsoló pergése ennél gyorsabb, így az átlagolt jel monoton lesz (az egymás utáni értékek nem fognak le-fel ugrálni). 14

15 Az átlagolási periódust a cnt_10ms számláló határozza meg: 50MHz-es órajel mellett az es modulusú számláló számlálási periódusa 10ms. Az átlagolás abból áll, hogy az említett periódus alatt megszámláljuk a nyomógombról érkező egyeseket. Ha ezek többségben vannak, úgy tekinthetjük, hogy a gomb meg lett nyomva (Btn0=1 b1). Ilyenkor (a Btn0 jel felfutó élénél) növelni kell eggyel a DSP0 számláló tartalmát. 15

16 module Pergesmentesites( output reg [7:0] DSP0_To_Display, output AN0, AN1, AN2, AN3, input ibtn0, input iclk50mhz); DCM_Module DCM_Module_inst(iClk50MHz,Clk50MHz); reg [3:0] DSP0 = 0; assign AN0 = 0; assign AN1 = 1'd1; assign AN2 = 1'd1; assign AN3 = 1'd1; 16

17 Clk50MHz) begin case(dsp0) 4'd0: DSP0_To_Display <= ~(8'b0011_1111); 4'd1: DSP0_To_Display <= ~(8'b0000_0110); 4'd2: DSP0_To_Display <= ~(8'b0101_1011); 4'd3: DSP0_To_Display <= ~(8'b0100_1111); 4'd4: DSP0_To_Display <= ~(8'b0110_0110); 4'd5: DSP0_To_Display <= ~(8'b0110_1101); 4'd6: DSP0_To_Display <= ~(8'b0111_1101); 4'd7: DSP0_To_Display <= ~(8'b0000_0111); 17

18 4'd8: DSP0_To_Display <= ~(8'b0111_1111); 4'd9: DSP0_To_Display <= ~(8'b0110_1111); endcase end reg [3:0] buf_btn0 = 0; reg [18:0] cnt_10ms = 0, Av_Btn0 = 0; reg Btn0 = 0, 18

19 Prev_Btn0 = 0; always@(posedge Clk50MHz) begin buf_btn0 <= {buf_btn0[2:0], ibtn0}; cnt_10ms <= cnt_10ms + 1'b1; if(cnt_10ms == 19'd500_000) 19

20 begin Av_Btn0 <= 0; Btn0 <= (Av_Btn0 >= 18'd250_000)? 1'b1 : 0; Prev_Btn0 <= Btn0; if({btn0, Prev_Btn0} == 2'b10) if(dsp0== 4'd9) DSP0 <= 0; else DSP0 <= DSP0 + 1'd1; end 20

21 else begin if(buf_btn0[3] == 1'b1) Av_Btn0 <= Av_Btn0 + buf _Btn0[3]; end end endmodule 21

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN 14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN A digitális berendezések kijelzőjének kezelésénél gyakori feladat a kijelzett tartalom mozgatása valamilyen szabály szerint. Ebben a példában

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

2. tartály tele S3 A tartály tele, ha: S3=1 I 0.2. 3. tartály tele S5 A tartály tele, ha: S5=1 I 0.4

2. tartály tele S3 A tartály tele, ha: S3=1 I 0.2. 3. tartály tele S5 A tartály tele, ha: S5=1 I 0.4 Követővezérlés tárolással Tárolótartályrendszer: feltöltés vezérlése Három tárolótartály tele állapotát az S1, S3, S5 jeladók, az üres jelet az S2, S4, S6 jeladók szolgáltatják az előbbi sorrendben. A

Részletesebben

DVR-812 VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ

DVR-812 VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ DVR-812 VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ TARTALOM Használati feltételek. 3 Általános jellemzők 3 Tartozékok. 3 Kezelőgombok és jelölések 4 Megfigyelői üzemmód 5 Lejátszás üzemmód. 6 Technikai paraméterek.

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

Az EuroProt készülékcsalád

Az EuroProt készülékcsalád EuroProt rendszerismertető Az EuroProt készülékcsalád A Protecta Elektronikai Kft. EuroProt készülékcsaládja azzal a céllal készült, hogy tagjai a villamosenergia rendszer valamennyi védelmi és automatika

Részletesebben

KÖZGAZDASÁGI ALAPISMERETEK (ELMÉLETI GAZDASÁGTAN)

KÖZGAZDASÁGI ALAPISMERETEK (ELMÉLETI GAZDASÁGTAN) 0801 ÉRETTSÉGI VIZSGA 009. május. KÖZGAZDASÁGI ALAPISMERETEK (ELMÉLETI GAZDASÁGTAN) EMELT SZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ OKTATÁSI ÉS KULTURÁLIS MINISZTÉRIUM JAVÍTÁSI ÚTMUTATÓ

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 6. Véges állapotgépek: közlekedési lámpa vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 7. Hétszegmenses LED kijelző multiplex vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

#Bevezetés Beállítások NA 3000 # 1.1.

#Bevezetés  Beállítások NA 3000 # 1.1. Bevezetés A szinthal1 program szintezéssel mért magassági hálózatok kiegyenlítésére alkalmas program. Lehetőségünk van mind beillesztett, mind önálló hálózat számítására. Önálló hálózat kiegyenlítésekor

Részletesebben

Programozás alapjai C nyelv 5. gyakorlat. Írjunk ki fordítva! Írjunk ki fordítva! (3)

Programozás alapjai C nyelv 5. gyakorlat. Írjunk ki fordítva! Írjunk ki fordítva! (3) Programozás alapjai C nyelv 5. gyakorlat Szeberényi Imre BME IIT Programozás alapjai I. (C nyelv, gyakorlat) BME-IIT Sz.I. 2005.10.17. -1- Tömbök Azonos típusú adatok tárolására. Index

Részletesebben

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait.

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait. Mérési útmutató A/D konverteres mérés 1. Az A/D átalakítók főbb típusai és rövid leírásuk // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait. Csoportosítás polaritás szempontjából:

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor

Részletesebben

Napkollektor szabályozó. Tipikus felhasználási területek: Önálló- és félig elkülönített lakóépületekhez Kisebb társasházakhoz Kereskedelmi épületekhez

Napkollektor szabályozó. Tipikus felhasználási területek: Önálló- és félig elkülönített lakóépületekhez Kisebb társasházakhoz Kereskedelmi épületekhez s OE Napkollektor szabályozó RVA78.690 ultifunkcionális napkollektor szabályozó lakóépületekhez- és közintézményekhez szöveges kijelzővel odulációs szivattyú szabályozás az optimális energiafelhasználásért

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

Kondenzátorvédelmi funkció feszültségváltós kettős csillagkapcsolású telepre

Kondenzátorvédelmi funkció feszültségváltós kettős csillagkapcsolású telepre Kondenzátorvédelmi funkció feszültségváltós kettős csillagkapcsolású telepre Dokumentum ID: PP-13-20543 Budapest, 2014. július Verzió Dátum Módosítás Szerkesztette V1.0 2014.03.10. Első kiadás Póka Gyula

Részletesebben

VLP-sorozatú lineáris labortápegység

VLP-sorozatú lineáris labortápegység Conrad Vevőszolgálat, 1124 Budapest, Jagelló út 30. Tel: 319 0250 VLP-sorozatú lineáris labortápegység Rendelési szám: 51 14 06 VLP 2403 Pro 51 14 01 VLP 1303 Pro 51 14 02 VLP 1602 Pro 51 14 03 VLP 1405

Részletesebben

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István LOGIKI TERVEZÉS PROGRMOZHTÓ ÁRMKÖRÖKKEL Elő Előadó: Dr. Oniga István Funkcionális kombinációs ió egységek következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

TSZA-04/V. Rendszerismertető: Teljesítmény szabályzó automatika / vill

TSZA-04/V. Rendszerismertető: Teljesítmény szabályzó automatika / vill TSZA-04/V Teljesítmény szabályzó automatika / vill Rendszerismertető: 1. A TSZA-04/V működése...2 2. A TSZA-04/V üzemi paramétereinek jelentése...4 3. A TSZA-04/V programozható paramétereinek jelentése...5

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

PROGRAMOZHATÓ LOGIKAI. Előadó: Dr. Oniga István

PROGRAMOZHATÓ LOGIKAI. Előadó: Dr. Oniga István PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter, Lazanyi

Részletesebben

A Verilog HDL áttekintése

A Verilog HDL áttekintése Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A Verilog HDL áttekintése

Részletesebben

Pontosság. időalap hiba ± 1 digit. Max. bemeneti fesz.

Pontosság. időalap hiba ± 1 digit. Max. bemeneti fesz. Conrad Szaküzlet 1067 Budapest, Teréz krt. 23. Tel: (061) 302-3588 Conrad Vevőszolgálat 1124 Budapest, Jagelló út 30. Tel: (061) 319-0250 Függvénygenerátor, FG-8202 Rend.sz.: 12 31 13 Az útmutatóban foglaltaktól

Részletesebben

ElMe 6. labor. Helyettesítő karakterisztikák: Valódi karakterisztika 1 pontosabb számításoknál 2 közelítő számításoknál 3 ideális esetben

ElMe 6. labor. Helyettesítő karakterisztikák: Valódi karakterisztika 1 pontosabb számításoknál 2 közelítő számításoknál 3 ideális esetben ElMe 6. labor 1. Rajzolja fel az ideális és a valódi dióda feszültség-áram jelleggörbéjét! 5. Hogyan szokás közelíteni a számítások során a dióda karakterisztikáját? 4. Rajzolja fel a dióda karakterisztikáját,

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2..év hó nap NÉV:...neptun kód:.. Kurzus: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal

Részletesebben

0.1. Mi az a standard be- és kimenet?... 1. 0.2. A két mintafeladat leírása

0.1. Mi az a standard be- és kimenet?... 1. 0.2. A két mintafeladat leírása KöMaL Technikai tanácsok az I- és S-jelű pontversenyhez A standard be- és kimenet kezelése Tartalomjegyzék 0.1. Mi az a standard be- és kimenet?............................. 1 0.2. A két mintafeladat leírása.................................

Részletesebben

Jelalakvizsgálat oszcilloszkóppal

Jelalakvizsgálat oszcilloszkóppal 12. fejezet Jelalakvizsgálat oszcilloszkóppal Fűrészjel és impulzusjel megjelenítése oszcilloszkóppal Az oszcilloszkópok feszültség vagy bármilyen feszültséggé átalakítható mennyiség időbeli változásának

Részletesebben

S7021 ADATGYŰJTŐ. 2-csatornás adatgyűjtő számláló és bináris bemenettel. Kezelési leírás

S7021 ADATGYŰJTŐ. 2-csatornás adatgyűjtő számláló és bináris bemenettel. Kezelési leírás S7021 ADATGYŰJTŐ 2-csatornás adatgyűjtő számláló és bináris bemenettel Kezelési leírás Nem hivatalos fordítás! Minden esetleges eltérés esetén az eredeti, angol nyelvű dokumentum szövege tekintendő irányadónak:

Részletesebben

Líneáris függvények. Definíció: Az f(x) = mx + b alakú függvényeket, ahol m 0, m, b R elsfokú függvényeknek nevezzük.

Líneáris függvények. Definíció: Az f(x) = mx + b alakú függvényeket, ahol m 0, m, b R elsfokú függvényeknek nevezzük. Líneáris függvének Definíció: Az f() = m + b alakú függvéneket, ahol m, m, b R elsfokú függvéneknek nevezzük. Az f() = m + b képletben - a b megmutatja, hog a függvén hol metszi az tengelt, majd - az m

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 7. VHDL FELADATOK: Speciális nyelvi szerkezetek. Sorrendi

Részletesebben

Használati útmutató. Tyredog TD-4000A (X-típus)

Használati útmutató. Tyredog TD-4000A (X-típus) Használati útmutató Tyredog TD-4000A (X-típus) Tartalomjegyzék Általános biztonsági utasítások... 3 A készlet tartalma... 4 Műszaki adatok... 5 A szenzor adatai... 5 A vevőegység adatai... 5 Előnyök...

Részletesebben

MS-ADTKIJ Kezelési útmutató

MS-ADTKIJ Kezelési útmutató METRISOFT MÉRLEGGYÁRTÓ KFT * 6800, Hódmezővásárhely Jókai u. 30. Tel.: (62) 246-657 Fax.: (62) 249-765 - Email: merleg@metrisoft.hu : Web: www.metrisoft.hu MS-ADTKIJ Kezelési útmutató Elektronikus mérlegek

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

FEDÉLZETI INERCIÁLIS ADATGYŰJTŐ RENDSZER ALKALMAZÁSA PILÓTA NÉLKÜLI REPÜLŐGÉPEKBEN BEVEZETÉS

FEDÉLZETI INERCIÁLIS ADATGYŰJTŐ RENDSZER ALKALMAZÁSA PILÓTA NÉLKÜLI REPÜLŐGÉPEKBEN BEVEZETÉS Koncz Miklós Tamás FEDÉLZETI INERCIÁLIS ADATGYŰJTŐ RENDSZER ALKALMAZÁSA PILÓTA NÉLKÜLI REPÜLŐGÉPEKBEN BEVEZETÉS Magyarországon megszűnt a nagyoroszi (Drégelypalánk) lőtér, a térségben található egyetlen,

Részletesebben

Verilog HDL ismertető 4. hét : hét dia

Verilog HDL ismertető 4. hét : hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 4. hét : 1.-3. hét + 41 61 dia Fehér Béla, Raikovich

Részletesebben

Elektronikus dobókocka tervezési példa

Elektronikus dobókocka tervezési példa Elektronikus dobókocka tervezési példa (file: kocka-pld-sp3, H.J., 2006-10-16) Ebben a mintapéldában egy elektronikus dobókockát tervezünk. Ezzel a tervezési példával a Mérés laboratórium I. gyakorlatok

Részletesebben

VDP-808N VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ

VDP-808N VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ VDP-808N VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ TARTALOM Tartozékok. 3 Használati feltételek 3 Általános jellemzık 3 Kezelıgombok és jelölések 3 Megfigyelıi üzemmód 4 Lejátszás üzemmód. 6 Bypass mód..

Részletesebben

Billenőkörök. Billenő körök

Billenőkörök. Billenő körök Billenő körök A billenőkörök, vagy más néven multivibrátorok pozitívan visszacsatolt, kétállapotú áramkörök. Kimeneteik szigorúan két feszültségszint (LOW és HIGH) között változnak. A billenőkörök rendszerint

Részletesebben

MÁOK Sm. Szervezete 7400 Kaposvár, Cseri major Utolsó frissítés: 2009-02-07 TÁVOKTATÁS. MS Excel táblába oszlop beszúrása

MÁOK Sm. Szervezete 7400 Kaposvár, Cseri major Utolsó frissítés: 2009-02-07 TÁVOKTATÁS. MS Excel táblába oszlop beszúrása 1 / 17 T A R T A L O M J E G Y Z É K T A R T A L O M J E G Y Z É K... 1 1. BEVEZETÉS... 2 2. MÁSOLAT KÉSZÍTÉSE ÉS MENTÉSE AZ MS EXCEL TÁBLÁRÓL... 2 2.1 MS EXCEL TÁBLÁT EBOLTÁS 2009 MEGNYITÁSA... 2 2.2

Részletesebben

Használati útmutató. Digitális szobatermosztát THR840DEE. 50062484-003 Rev. A. THR840DEE-HU.indd 1 11-08-08 09:49

Használati útmutató. Digitális szobatermosztát THR840DEE. 50062484-003 Rev. A. THR840DEE-HU.indd 1 11-08-08 09:49 Használati útmutató Digitális szobatermosztát THR840DEE 50062484-003 Rev. A THR840DEE-HU.indd 1 11-08-08 09:49 Használati útmutató FIGYELEM: A készülék csak helyes telepítés és beállítás után működik megfelelően

Részletesebben

Billenőkörök. Mindezeket összefoglalva a bistabil multivibrátor az alábbi igazságtáblázattal jellemezhető: 1 1 1 nem megen

Billenőkörök. Mindezeket összefoglalva a bistabil multivibrátor az alábbi igazságtáblázattal jellemezhető: 1 1 1 nem megen Billenőkörök A billenőkörök, vagy más néven multivibrátorok pozitívan visszacsatolt, kétállapotú áramkörök. Kimeneteik szigorúan két feszültségszint (LOW és HIGH) között változnak. Rendszerint két kimenettel

Részletesebben

SW-4836 ASI Changeover Controller

SW-4836 ASI Changeover Controller SW-4836 ASI Changeover Controller Beállító- és ellenőrző szoftver a CW-4836 ASI Changeover Duo, CW-4837 ASI Changeover Quad, CW-4838 ASI Changeover and Timer Duo CW-4838 ASI Changeover and Timer Quad automatikus

Részletesebben

1. BEVEZETÉS... 5 2. A RENDSZER ELEMEI, ARCHITEKTÚRÁJA... 5

1. BEVEZETÉS... 5 2. A RENDSZER ELEMEI, ARCHITEKTÚRÁJA... 5 EntryProx Beléptető Rendszer FELHASZNÁLÓI KÉZIKÖNYV v.1.0.7. EntryProx Beléptető Rendszer TARTALOM 1. BEVEZETÉS... 5 2. A RENDSZER ELEMEI, ARCHITEKTÚRÁJA... 5 3. A RENDSZER ÜZEMBE HELYEZÉSE... 7 3.1. Az

Részletesebben

VERTESZ Fázisazonosító Felhasználói Leírás

VERTESZ Fázisazonosító Felhasználói Leírás VERTESZ Felhasználói Leírás felhasználói leírás Tartalomjegyzék 1.ÁLTALÁNOS LEÍRÁS... 3 1.1.A készüléken található jelölések jelentése...3 1.2.Biztonsági figyelmeztetés... 3 1.3.A készülékek rendeltetése...

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Programozható logikai vezérlõk

Programozható logikai vezérlõk BUDAPESTI MÛSZAKI EGYETEM KÖZLEKEDÉSMÉRNÖKI KAR KÖZLEKEDÉSAUTOMATIKAI TANSZÉK Programozható logikai vezérlõk Segédlet az Irányítástechnika I. c. tárgyhoz Összeállította: Szabó Géza egyetemi tanársegéd

Részletesebben

VHR-23 Regisztráló műszer Felhasználói leírás

VHR-23 Regisztráló műszer Felhasználói leírás VHR-23 Regisztráló műszer Felhasználói leírás TARTALOMJEGYZÉK 1. ÁLTALÁNOS LEÍRÁS... 3 1.1. FELHASZNÁLÁSI TERÜLET... 3 1.2. MÉRT JELLEMZŐK... 3 1.3. BEMENETEK... 4 1.4. TÁPELLÁTÁS... 4 1.5. PROGRAMOZÁS,

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

HASZNÁLATI UTASÍTÁS. AC-610 digitális lakatfogó

HASZNÁLATI UTASÍTÁS. AC-610 digitális lakatfogó HŰTŐTECHNIKAI ÁRUHÁZAK 1163. Budapest, Kövirózsa u. 5. Tel.: 403-4473, Fax: 404-1374 3527. Miskolc, József Attila u. 43. Tel.: (46) 322-866, Fax: (46) 347-215 5000. Szolnok, Csáklya u. 6. Tel./Fax: (56)

Részletesebben

A CipherLab 711/800x/8300 adatgyűjtő programutasítása Elzab Soft Kft. 41-813 Zabrze, ul.kruczkowskiego 39. VIGYÁZAT: az alábbi utasítás az adatgyűjtő program szimulátorára is vonatkozik. A szimulátor programja

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Landis + Gyr Dialog. Z.x.D300/400 fogyasztásmérők. Kijelző kezelés

Landis + Gyr Dialog. Z.x.D300/400 fogyasztásmérők. Kijelző kezelés Landis + Gyr Dialog Z.x.D300/400 fogyasztásmérők 1 A Z.x.D fogyasztásmérők kijelzőjének kezelésére a kijelző mellett található két nyomógomb szolgál. E két gomb segítségével lehet a megjelenítendő adatokat

Részletesebben

RAPTOR - primer áramnyomató rendszer

RAPTOR - primer áramnyomató rendszer Sokfunkciós készülék A RAPTOR egy fejlett vizsgálórendszer, melyet pontosan azoknak a primer oldali vizsgálatoknak az elvégzésére fejlesztettek ki, melyek az alállomások üzembehelyezése és karbantartása

Részletesebben

E B D C C DD E E g e 112 D 0 e B A B B A e D B25 B B K H K Fejhallgató Antenna A B P C D E 123 456 789 *0# Kijelzés g B A P D C E 0 9* # # g B B 52 Y t ] [ N O S T \ T H H G ? > < p B E E D 0 e B D

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Fan-coil termosztátok LCD kijelzővel

Fan-coil termosztátok LCD kijelzővel 3 058 RDF210, RDF210.2, RDF210/IR, RDF210.2/IR Fan-coil termosztátok LCD kijelzővel 7-napos időprogrammal RDF210 2-csöves fan-coil rendszerekhez DX típusú hűtőkompresszorokhoz Kimenet 2-pont működésű (BE/KI)

Részletesebben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék FPGA & Verilog ismertetı BME Méréstechnika és Információs Rendszerek Tanszék FPGA-k FPGA: Field Programmable Gate Array programozható logikai áramkör Jelentısebb gyártók: Xilinx, Altera, Actel, Quicklogic,

Részletesebben

MIKROÖKONÓMIA I. Készítette: K hegyi Gergely és Horn Dániel. Szakmai felel s: K hegyi Gergely. 2010. június

MIKROÖKONÓMIA I. Készítette: K hegyi Gergely és Horn Dániel. Szakmai felel s: K hegyi Gergely. 2010. június MIKROÖKONÓMIA I Készült a TÁMOP-412-08/2/a/KMR-2009-0041 pályázati projekt keretében Tartalomfejlesztés az ELTE TáTK Közgazdaságtudományi Tanszékén az ELTE Közgazdaságtudományi Tanszék az MTA Közgazdaságtudományi

Részletesebben

Felhasználói kézikönyv. TB6560HQV3-T3 (V type) 3 tengelyes léptetőmotor vezérlő

Felhasználói kézikönyv. TB6560HQV3-T3 (V type) 3 tengelyes léptetőmotor vezérlő Felhasználói kézikönyv TB6560HQV3-T3 (V type) 3 tengelyes léptetőmotor vezérlő Mikrolépés lehetősége: 1, 1/2, 1/8, 1/16. A vezérlő 3 tengely meghajtására képes, egyszerűen bővíthető a rendszer egy 4. tengellyel.

Részletesebben

Szakdolgozat. Balázs Ádám Kuk József

Szakdolgozat. Balázs Ádám Kuk József Szakdolgozat Balázs Ádám Kuk József Debrecen 2010 Debreceni Egyetem Informatika Kar EKG jelek feldolgozása (.NET) Témavezető: Dr. Juhász István Egyetemi adjunktus Készítette: Balázs Ádám Programtervező

Részletesebben

4. mérés Jelek és jelvezetékek vizsgálata

4. mérés Jelek és jelvezetékek vizsgálata 4. mérés Jelek és jelvezetékek vizsgálata (BME-MI, H.J.) Bevezetés A mérési gyakorlat első része a mérésekkel foglalkozó tudomány, a metrológia (méréstechnika) néhány alapfogalmával foglalkozik. A korszerű

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa Fehér Béla

Részletesebben

Elektropneumatika. 3. előadás

Elektropneumatika. 3. előadás 3. előadás Tartalom: Az elektropneumatikus vezérlés Az elektropneumatikus a rendszer elemei: hálózati tápegység, elektromechanikus kapcsoló elemek: relék, szelepek, szenzorok. Automatizálástechnika EP

Részletesebben

Szobatermosztát nagyméretű LCD kijelzővel (nem programozható) Nagy méretű LCD kijelző Elemes tápellátás: 2 x Alkáli elem AA típusú, 1.

Szobatermosztát nagyméretű LCD kijelzővel (nem programozható) Nagy méretű LCD kijelző Elemes tápellátás: 2 x Alkáli elem AA típusú, 1. 3 069 Szobatermosztát nagyméretű LCD kijelzővel (nem programozható) Fűtési vagy hűtési rendszerekhez RDH10 Nagy méretű LCD kijelző Elemes tápellátás: 2 x Alkáli elem AA típusú, 1.5 V Használat Az RDH10

Részletesebben

Verilog HDL ismertető

Verilog HDL ismertető BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 1. hét: 1 14 diák 2. hét: 15 25 diák 3. hét: 26

Részletesebben

A PLÁ k programozhatóságát biztosító eszközök

A PLÁ k programozhatóságát biztosító eszközök Programozható logikai áramkörök A PLÁ k programozhatóságát biztosító eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika

Részletesebben

Digitális kártyák vizsgálata TESTOMAT-C" mérőautomatán

Digitális kártyák vizsgálata TESTOMAT-C mérőautomatán Digitális kártyák vizsgálata TESTOMAT-C" mérőautomatán NAGY SANDOR ZOLTAN FRIGYES IVAN BHG BEVEZETÉS Az elektronikus termékek minőségét alapvetően az alapanyagok tulajdonsága, a gyártástechnológia műszaki

Részletesebben

HASZNÁLATI ÚTMUTATÓ. Version 1.0 2001. március

HASZNÁLATI ÚTMUTATÓ. Version 1.0 2001. március HASZNÁLATI ÚTMUTATÓ Version 1.0 2001. március BIZTONSÁGI ÚTMUTATÓ FIGYELEM: Az áramütés veszélyének elkerülése érdekében ne távolítsa el a készü-lék burkolatát ill. hátlapját. A készülék belsejében felhasználó

Részletesebben

Vezérlés és irányítástechnológia (Mikroprocesszoros irányítás)

Vezérlés és irányítástechnológia (Mikroprocesszoros irányítás) Vezérlés és irányítástechnológia (Mikroprocesszoros irányítás) 2.1. Lámpa bekapcsolása 2.2. Lámpa villogtatása 2.3. Futófény programozása 2.4. Fény futtatása balra, jobbra 2.5. Fénysáv megjelenítése 2.6.

Részletesebben

Sorompó kezelés mérlegműszerrel

Sorompó kezelés mérlegműszerrel METRISoft Mérleggyártó KFT PortaWin (PW2) Jármű mérlegelő program 6800 Hódmezővásárhely Jókai u. 30 Telefon: (62) 246-657, Fax: (62) 249-765 e-mail: merleg@metrisoft.hu Web: http://www.metrisoft.hu Módosítva:

Részletesebben

Felhasználói kézikönyv

Felhasználói kézikönyv Felhasználói kézikönyv 5800D Digitális szállópor koncentráció mérő TARTALOMJEGYZÉK 1. Bevezetés... 2 2. Biztonsági előírások... 2 3. Műszaki jellemzők... 2 4. A készülék felépítése... 3 5. Működési leírás...

Részletesebben

3. RADIOAKTÍV MINTÁK AKTIVITÁSÁNAK MEGHATÁROZÁSA

3. RADIOAKTÍV MINTÁK AKTIVITÁSÁNAK MEGHATÁROZÁSA 3. RADIOAKTÍV MINTÁK AKTIVITÁSÁNAK MEGHATÁROZÁSA 1. Az aktivitásmérés jelentosége Modern világunk mindennapi élete számtalan helyen felhasználja azokat az ismereteket, amelyekhez a fizika az atommagok

Részletesebben

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny evopro systems engineering kft. H-1116 Budapest, Hauszmann A. u. 2. XXI. Országos Ajtonyi István Dokumentum státusza Közétett Dokumentum verziószáma v1.0 Felelős személy Kocsi Tamás / Tarr László Jóváhagyta

Részletesebben

Menü rendszer és használat:

Menü rendszer és használat: Menü rendszer és használat: (javasolt előlap) A DRO Computer két független koordináta rendszert képes kezelni egy időben. Abszolút és relatív koordináták. Ezek közös encoder felbontással képzett (step/mm),

Részletesebben

Vigilec Mono. Egyfázisú szivattyú vezérlő és védelmi doboz. I. A csavarok eltávolítása után csúsztassuk felfelé az előlapot a felső állásba (A ábra)

Vigilec Mono. Egyfázisú szivattyú vezérlő és védelmi doboz. I. A csavarok eltávolítása után csúsztassuk felfelé az előlapot a felső állásba (A ábra) Vigilec Mono Egyfázisú szivattyú vezérlő és védelmi doboz TECHNOCONSULT Kft. 2092 Budakeszi, Szürkebarát u. 1. T: (23) 457-110 www.technoconsult.hu info@technoconsult.hu Leírás Indító relé egyfázisú felszíni

Részletesebben

Univerzális modul. RF-alapú univerzális modul - Maximum 2 helyiségcsoport előszabályozásához - Maximum 3-fokozatú szellőztetés szabályozásához

Univerzális modul. RF-alapú univerzális modul - Maximum 2 helyiségcsoport előszabályozásához - Maximum 3-fokozatú szellőztetés szabályozásához s 2 709 Synco living Univerzális modul RRV934 RF-alapú univerzális modul - Maximum 2 helyiségcsoport előszabályozásához - Maximum 3-fokozatú szellőztetés szabályozásához A KNX szabványon alapuló RF kommunikáció

Részletesebben

Új év nyitás ÉV NYITÁS ELŐTT KÉSZÍTSÜNK BIZTONSÁGI MENTÉST A CIN MAPPÁTÓL!

Új év nyitás ÉV NYITÁS ELŐTT KÉSZÍTSÜNK BIZTONSÁGI MENTÉST A CIN MAPPÁTÓL! Új év nyitás Szoftverünk megvásárlásával Ön a szerződésben meghatározott időn keresztül használhatja a programot, de általában örökös felhasználói jogot kap. Egy adott gazdasági időszak végén célszerű

Részletesebben