Elektronikus dobókocka tervezési példa

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Elektronikus dobókocka tervezési példa"

Átírás

1 Elektronikus dobókocka tervezési példa (file: kocka-pld-sp3, H.J., ) Ebben a mintapéldában egy elektronikus dobókockát tervezünk. Ezzel a tervezési példával a Mérés laboratórium I. gyakorlatok 5. méréséhez tartozó tervezési házi feladatokhoz szeretnénk ötleteket adni. 1. A tervezési feladat Elektronikus dobókocka (Feladatjel: M0) Realizálás: Spartan-3 FPGA mérőpanelen Az egység egy dobókockát szimulál. A dobókockához tartozik egy "Dobás" gomb, melynek megnyomására elindul a "dobás", és a gomb felengedésekor egy 1-től 6-ig terjedő szám jelenik meg a kockához tartozó számkijelzőn. A szám értéke véletlenszerűen kerül kiválasztásra. Az egység a dobásokat is számolja, és egy kijelzőn megjeleníti azok számát. A dobásszámkijelző 1 digites, és hexadecimális kódban jeleníti meg a dobásszámot. A Spartan-3 FPGA mérőpanelen realizált áramkörnél a Dobás gomb a BTN1, és az egység a BTN3 gombbal vihető alapállapotba. Alapállapotban mindkét szám 0 értékű. 2. Tervezési megfontolások 2.1 A fő egységek funkciójának meghatározása (elsődleges dekompozició) A dobókocka készülék 3 fő egységre bontható, melyek az 1. ábrán látható funkcionális tömbvázlatnak megfelelően kapcsolódnak egymáshoz. Ezek az egységek a következők: Egy kockaszám-generátor (Die), mely a hozzá tartozó gomb megnyomására egy véletlenszámot ad ki. A szám lehetséges értékei 1, 2, 3, 4, 5, és 6, illetve a Reset utáni 0. A számok legyenek binárisan kódoltak, ehhez 3 bit elegő. Egy számláló (Throw counter), mely a Dobás gomb megnyomásait számlálja. Két számjegyes display-vezérlő egység (Display controller), mely az FPGA panelen található hétszegmenses kijelzőt vezérli, és megjeleníti a két számot. 2.2 Következetes szinkron tervezési paradigma Minden sorri funkcionális egységnek közös órajele lesz, ami az FPGA globális órajele (CLK). Amelyik egység állapotváltásainak megkívánt üteme kisebb, mint a globális órajel frekvenciája, annak működését "engedélyező" funkciójú jelekkel ütemezzük, melyek szélessége egy CLK órajelnek felel meg. A dobásszámláló esetében ez azt jelenti, hogy a BTN1 jel nem lesz a dobásszámláló órajele, hanem a BTN1 jel egyik élénél előállítunk egy impulzust, mely a számláló léptetését fogja engedélyezni. Az elsődleges bemenő jelek tetszés szerinti időpillanatban megváltozhatnak, ezáltal a rszer órajeléhez képest aszinkron változnak. Azért, hogy ez az aszinkronitás ne okozzon esetleg metastabil állapotot, minden bemenő jelet szinkronizálni fogunk. 1/9

2 2.3 Tesztelhetőség A tesztelhetőség (és szimulálhatóság) érdekében minden sorri hálózatnak van alapállapotot beállító bemenete (RESET). A kijelző időmultiplexelt vezérlésű, a "multiplexelés" frekvenciáját 1 10 khz nagyságrűre célszerű választani. Ez több nagyságrdel kisebb a rszer-órajel frekvenciájánál. Ezért a hosszú tesztelési ill. szimulációs szekvenciák elkerülésére a tesztelés idejére célszerű felgyorsítani a multiplexelést. 2.4 Egyéb megfontolások Az alkalmazott FPGA kapuszáma, sebessége jóval nagyobb, mint amit ez a feladat igényel. Ezért külön nem törekedtünk az egységek "minimalizálására", és a megvalósítási lehetőségeket sebesség szempontjából sem vizsgáltuk. 3. Funkcionális tömbvázlat A tervezéshez kiindulásként használt funkcionális tömbvázlat az 1. ábrán látható. Az ábrán feltüntettük a használni kívánt jelneveket és modul-elnevezésket. BTN1 (Dobás) Throw counter (Dobásszámláló) module dcount RESET CLK Die (dobókocka) module die D2 D1 D2[3:0] Display controller module disp2d SEGM[6:0] DIGEN[3:0] D1[3:0] RESET CLK RESET CLK Functional block-diagram 1. ábra Az elektronikus dobókocka funkcionális tömbvázlata 4. A dobókocka modul (die) 4.1 A dobókocka modul (die) Verilog leírása A véletlenszerű kockaszám-generálást úgy valósítjuk meg, hogy a "dobás" közben egy 1- től 6-ig számláló viszonylag gyorsan, legalább több száz Hz frekvenciával lép. Az emberi gombnyomás időpontja a számláló ciklusidejéhez képest véletlenszerűnek tekinthető, ezen alapul a véletlenszám-generálás. Első menetben az egyszerűség kedvéért a rszer-órajelet gondoltuk a számláló léptetésére használni. Később kiderült, hogy a nyomógombot pergésmenetesíteni kell, ami egy néhány 100 Hz frekvenciájú mintavétellel történik. Az esetleges összeszinkronizá- 2/9

3 lódási problémák elkerülésére úgy határoztunk, hogy a számláló léptetését és a pergésmenetesítő áramkört ugyanazzal az ütemező jellel vezérlejük. Ezt az jelet a dobókocka modulban állítjuk elő CY néven. (Az előzetes funkcionális vázlaton ez a jel és a pergésmentesítő egység még nem szerepel.) A Reset utáni nulla, és a dobás közbeni 1 6 állapotokat binárisan kódoljuk, ehhez 3 bit elegő. A 3 bites számláló a BTN nyomógomb megnyomása alatt sebesen számol, a gomb felengedésekor leáll. A modul Verilog leírása: module die(clk,reset,btn,count,cy); input RESET; input BTN; //pushbutton output [2:0] COUNT; //die data output reg CY; //count rate reg[2:0] COUNT; reg[18:0] Q; //Generating ca 3 ms rate // CY = (Q == 19'd1); //divide by 2 for testing CY = (Q == 19'd330000); // for 3 ms sec rate if (RESET CY) Q <= 19'd0; else Q <= Q+1; //1-6 counter if (RESET) COUNT <= 3'd0; else if (BTN & CY) if(count == 3'd6) COUNT <= 3'd1; else COUNT <= COUNT+1; module 4.2 A dobókocka modul (die) ellenőrzése szimulációval A die modul leírását szimulációval ellenőriztük. Készítettünk egy "Testnech" hullámformát a modulhoz, majd megnéztük a viselkedést a WebPACK 8.2 "Generate Expected Simulation Results" moduljával. A szimuláció eredményét az alábbi diagramon mutatja. Az idődiagramon jól látható, hogy a számláló csak a BTN=1 időtartama (a gomb megnyomása) alatt számol, és a számlálás az 1->6 állapotokon keresztül történik. 3/9

4 5. A dobásszámláló modul (dcount) 5.1 A dobásszámláló modul (dcount) Verilog leírása A kockadobás eredménye a gomb elengedésekor alakul ki, ezért a dobásszámlálót is a gomb felengedésekor fogjuk léptetni. Ehhez elő kell állítani egy engedélyező pulzust a BTN gomb jelének lefutó élénél. Egyik lehetőség a jel élének felismerésére az, hogy tároljuk a jel előző értékét, és ha a mostani és az előző állapot eltérő, akkor jelváltás történt (2. ábra). CLK D D Q Q Signal Q0 Q1 Rising edge: Falling edge: Q0 & ~Q1 ~Q0 & Q1 2. ábra Jel felfutó és lefutó élének detektálása module dcount(clk,reset,btn,count); input RESET; input BTN; //pushbutton output [3:0] COUNT; //number of die throw // output FEDGE; //for testing reg [3:0] COUNT; reg [1:0] Q; reg FEDGE; //falling edge detector FEDGE = ~Q[0] & Q[1]; if (RESET) Q <= 2'd0; else Q[0] <= BTN; Q[1] <= Q[0]; //falling edge of BTN //counter if (RESET) COUNT <= 4'd0; else if (FEDGE) COUNT <= COUNT+1; module 4/9

5 5.2 A dobásszámláló modul (dcount) ellenőrzése szimulációval A dobásszámláló modul leírását ellenőriztük szimulációval is. Az ellenőrzés eredménye az alábbi ábrán látható. (A szimuláció WebPACK 6.3 verziójához tartozó ModelSim szimulátorral történt.) 6. A display-vezérlő modul (disp2d) 6.1 A display-vezérlő modul (disp2d) funkcionális vázlata Az FPGA mérőpanel leírása szerint a négy számjegyes kijelző időmultiplexelt vezérlésre van előkészítve. A szegmenseket kivilágító diódák katódjai szegmensek szerint vannak közösítve, a diódák anódjai pedig számpozíciónként vannak összekötve. A szegmens kigyulladásához a szegmensvezérlő jelnek (SEGMent) "0" értékűnek kell lenni. A megfelelő szegmens csak abban a számpozícióban fog világítani, ahol a számkiválasztó jel (DIGit ENable) is aktív. (A Spartan-3 panel esetében a jel "0" értéke engedélyezi a számpozíció világítását.) Az display-vezérlő modul viszonylag komplex funkciót valósít meg, ezért ezt a modult további, alacsonyabb hierarchia-szintű modulok összekapcsolásával valósítjuk meg a 3. ábrán látható funkcionális vázlatnak megfelelően. A számjegyeknek megfelelő szegmenskódokat (SEGM[6:0]) a szegmensdekóder modul (7 segment decoder) állítja elő. A dekóder bemenetére a szám-multiplexer modul (Digit multiplexer) adja rá egymás után a megjelenítő számok hexadecimális kódját. A multiplexert a digit-számláló (Digit counter) vezérli, mely ezzel szinkronban a számkiválasztó jelek (DIGEN[3:0]) aktiválását is vezérli. A MASK jellel a számjegy kivilágítását tilthatjuk le. 5/9

6 D1[3:0] Digit multiplexer D2[3:0] module mpx2to1 QA HEX [3:0] 7 segment decoder SEGM [6:0] module hex2led Digit counter module sqwgen "assign" DIGEN [3:0] RESET CLK MASK 2 digit display controller 3. ábra A két számjegyes display vezérlő funkcionális tömbvázlata A kijelzőn csak két számjegyet (D1 és D2) kell megjeleníteni, ezért a multiplexer 2 -> 1 típusú, és a számláló tulajdonképpeni digitválasztó része is csak 1 bites (QA jel). Maga a számláló több bitet tartalmaz, mert egyben elvégzi a rszer-órajel leosztását is a megfelelő időmultiplexelési ütemhez (1-10 khz). 6.2 A szegmensdekóder modul (hex2led) Verilog leírása A szegmensdekóder leírásához változtatás nélkül átvettük a WebPACK rszer Language Templates / Verilog / Synthesis Templates példatárából a HEX2LED modult. module hex2led(hex,led); //HEX-to-seven-segment decoder input [3:0] HEX; output [6:0] LED; reg [6:0] LED; // segment encoding // 0 // - // 5 1 // - <- 6 // 4 2 // - // 3 case (HEX) 4'b0001 : LED = 7'b ; //1 4'b0010 : LED = 7'b ; //2 4'b0011 : LED = 7'b ; //3 4'b0100 : LED = 7'b ; //4 4'b0101 : LED = 7'b ; //5 4'b0110 : LED = 7'b ; //6 4'b0111 : LED = 7'b ; //7 4'b1000 : LED = 7'b ; //8 4'b1001 : LED = 7'b ; //9 6/9

7 4'b1010 : LED = 7'b ; //A 4'b1011 : LED = 7'b ; //b 4'b1100 : LED = 7'b ; //C 4'b1101 : LED = 7'b ; //d 4'b1110 : LED = 7'b ; //E 4'b1111 : LED = 7'b ; //F default : LED = 7'b ; //0 case module 6.3 A szám-multiplexer modul (mpx2to1) Verilog leírása A szám-multiplexer működését procedurálisan írtuk le, mert ezzel a vektorjelek (buszok) multiplexelését egyszerűen és szemléletesen le tudjuk írni. Mintának a WebPACK rszer Language Templates / Verilog / Synthesis Templates példatárából a "Mux built with gates" modult választottuk. module mpx2to1(in0,in1,sel,out); input [3:0] IN0; input [3:0] IN1; input SEL; output [3:0] OUT; reg [3:0] OUT; or IN0 or IN1) case (SEL) 1'b0 : OUT = IN0; 1'b1 : OUT = IN1; case module 6.4 A digit-számláló modul (sqwgen) Verilog leírása module sqwgen(clk,reset,qa); input RESET; output QA; reg [16:0] COUNT; assign QA = COUNT[14]; if (RESET) COUNT <= 16'd0; else COUNT <= COUNT+1; module 6.5 A display-vezérlő top-moduljának (disp2d) Verilog leírása A leírás a 3. ábrán látható funkcionális vázlat alapján történt 7/9

8 module disp2d(clk,reset,d1,d2,mask,segm,digen); input RESET; input [3:0] D1; //1. hex digit input [3:0] D2; //2. hex digit input [3:0] MASK; //digit enable mask output [6:0] SEGM; //active Low segment outputs output [3:0] DIGEN;//active Low display digit enable wire [3:0] HEX,MASK; wire QA; assign DIGEN[0] = MASK[0] ~QA; assign DIGEN[1] = MASK[1]; assign DIGEN[2] = MASK[2]; assign DIGEN[3] = MASK[3] QA; sqwgen digitc (CLK,RESET,QA); mpx2to1 digitmpx (D1,D2,QA,HEX); hex2led segm_decod (HEX,SEGM); module 7. A nyomógomb pergésmenetesítése Az egységnek a dobásokat számlálnia is kell. A panelt használva és Spartan-3 Board leírását tanulmányozva is kiderül, hogy a panelen lévő nyomógombok pereghetnek, és a panelen nincs külön pergésmenetesítő áramkör. A pergés azt jelenti, hogy a gomb megnyomásakor ill. elengedésekor a nyomógomb kimenő jele többször változhat. Ha nem végzünk pergésmenetesítést, akkor a számlálónk egy gombnyomáskor többet is léphet. A pergés időtartama nem több mint 2 ms. Ezt kihasználva a pergésmenetesítést úgy fogjuk végezni, hogy a nyomógomb jelét kb. 3 ms-onként mintavételezzük, és csak akkor tekintjük a nyomógomb állapotát megváltozottnak, ha a következő (a 3 ms-mal későbbi) minta is a megváltozott értéknek felel meg. module debounce( input CLK, //50 MHz system clock input RESET, input CY, //sample rate for debounce input BTNb, //bouncing signal output reg BTNdeb //debounced signal ); reg BTNs; //sampled input signal //Sampling bouncing signal if (RESET) BTNs <= 0; BTNdeb <= 0; else if (CY) BTNs <= BTNb; BTNdeb <= (BTNb & BTNs) (BTNdeb & (BTNb BTNs)); module 8/9

9 8. A készülék legfelső szintű moduljának (kocka) leírása A legfelső szintű modul tartalmazza az 1. ábrának megfelelő funkcionális modulok kapcsolódását egymáshoz és a vezérlő/kijelző szervekhez. Ebbe a modulba építettük be a BTN1 és BTN3 bemenő jelek szinkronizálását is. A nyomogomb pergésmenetesítését egy külön modul végzi. A készülék működésének vizsgálatát megkönnyíti, ha a bemenő/kimenő jelek másolatát és fontosabb belső jeleket kivezetünk a logikai analizátor vagy mixed signal oszcilloszkóp szokásos csatlakozási felületére. Ezt ez a leírás nem tartalmazza. module kocka(clk,btn3,btn1,segm,dp,digen,btn); input BTN3; //Reset input BTN1; //Die throw output [6:0] SEGM; //Display segments, active L output DP; //Decimal Point segment output [3:0] DIGEN;//DI[lay digit enable output BTN; //State of BTN1 reg RESET; reg BTNb; wire [3:0] D1,D2;//digits to be diplayed wire [2:0] DD; assign D1 = {0,DD}; //Die Data is 3 bit only assign DP = 1; //Decimal Point disabled wire [3:0] MASK; //digit mask wire BTN; assign MASK[3] = BTN; assign MASK[2] = 1; assign MASK[1] = 1; assign MASK[0] = 0; //assign MASK[3:0] = {BTN,1,1,0}; //Synchronize inputs BTNb <= BTN1; RESET <= BTN3; debounce btn (CLK,RESET,CY,BTNb,BTN); die die1 (CLK,RESET,BTN,DD,CY); dcount dcount1 (CLK,RESET,BTN,D2); disp2d displ_contr (CLK,RESET,D1,D2,MASK,SEGM,DIGEN); module 9/9

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 7. Hétszegmenses LED kijelző multiplex vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350 Mérési feladatok az 1., 2. és 3. mérési alkalomhoz A mérés tárgya: FPGA áramkörök és tervezési rendszereik megismerése A mérések során egy egyszerű

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN 14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN A digitális berendezések kijelzőjének kezelésénél gyakori feladat a kijelzett tartalom mozgatása valamilyen szabály szerint. Ebben a példában

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 3. Verilog blokkok és struktúrák Végh János: Bevezetés a Verilog hardverleíró nyelvbe c. jegyzete nyomán Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 1 Az FPGA eszközök bemeneti jeleit gyakran mechanikai kapcsolókkal hozzuk létre. Használható váltókapcsoló, amely a nulla és az egyes logikai

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 7. VHDL FELADATOK: Speciális nyelvi szerkezetek. Sorrendi

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 6. Véges állapotgépek: közlekedési lámpa vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Digitális technika Laboratórium 6.

Digitális technika Laboratórium 6. BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Laboratórium 6. BME MIT Fehér Béla Benesócky Zoltán

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A digitális tervezésben gyakran szükséges a logikai jelek változását érzékelni és jelezni. A változásdetektorok készülhetnek csak egy típusú változás (0 1, vagy

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2..év hó nap NÉV:...neptun kód:.. Kurzus: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció

Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István LOGIKI TERVEZÉS PROGRMOZHTÓ ÁRMKÖRÖKKEL Elő Előadó: Dr. Oniga István Funkcionális kombinációs ió egységek következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

MSP430 programozás Energia környezetben. Hétszegmenses LED kijelzok

MSP430 programozás Energia környezetben. Hétszegmenses LED kijelzok MSP430 programozás Energia környezetben Hétszegmenses LED kijelzok 1 A hétszegmenses kijelző A hétszegmenses kijelzők 7 db LED-et vagy LED csoportot tartalmaznak, olyan elrendezésben, hogy a 0 9 arab számjegyeket

Részletesebben

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS DIGITÁLIS THNIK I Dr. Lovassy Rita Dr. Pődör álint Óbudai gyetem KVK Mikroelektronikai és Technológia Intézet. LŐDÁS PÉLD: KÖZÜL DKÓDÓLÓ / O O O Háromból nyolcvonalas dekódoló engedélyező bemenettel. kimeneti

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 4. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 4. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 4. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Szimuláció és verifikáció. Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció. Kétfajta szimulációs módszer

Szimuláció és verifikáció. Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció. Kétfajta szimulációs módszer BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 2. rész

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 2. rész Hobbi Elektronika A digitális elektronika alapjai: Kombinációs logikai hálózatok 2. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS Arató Péter: Logikai rendszerek tervezése, Tankönyvkiadó,

Részletesebben

Bevezető az ISE 11.2 rendszer használatához

Bevezető az ISE 11.2 rendszer használatához Bevezető az ISE 11.2 rendszer használatához (Szántó Péter, 2009-09-01) Tartalom Tartalom... 1 1. Az ISE rendszer részei... 1 2. Az ISE rendszer használata az alaplaborban... 3 3. A mintafeladat... 3 4.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő 1 Lab 20 projektek MiniPirate.ino Arduino Mini Pirate interaktív vizsgálóprogram, amelyet most az I2C busz kézivezérlésére

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 15. Arduino programozás Hétszegmenses kijelzők 2. rész 1 Betűvadászat A 7 db szegmens mindegyike lehet ki- vagy bekapcsolt állapotban. A lehetséges állapotok száma: 27 = 128

Részletesebben

Számlálók, adatfeldolgozó egységek

Számlálók, adatfeldolgozó egységek Számlálók, adatfeldolgozó egységek F1. A LOGSYS kártya órajel generátora 16MHz frekvenciájú szimmetrikus négyszögjelet állít elő. Egy digitális stoppert szeretnénk készíteni. A stopper alapvetően a hagyományos

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

A Verilog HDL áttekintése

A Verilog HDL áttekintése Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A Verilog HDL áttekintése

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Xilinx System Generator Szántó Péter BME MIT, FPGA Laboratórium Xilinx System Generator MATLAB Simulink Toolbox Simulink Modell alapú grafikus

Részletesebben

Logikai tervezés gyakorlatok (2018.)

Logikai tervezés gyakorlatok (2018.) Logikai tervezés gyakorlatok (2018.) Tartalom 1. 7-szegmenses vezérlő... 2 2. TMP121 SPI interfész... 4 3. Audió CODEC illesztése... 6 4. FIR szűrő... 12 5. ChipScope FIR szűrő... 15 6. SERDES... 16 1.

Részletesebben

Verilog ismertető (Szántó Péter, BME MIT, )

Verilog ismertető (Szántó Péter, BME MIT, ) Verilog ismertető (Szántó Péter, BME MIT, 2006-09-17) Tartalomjegyzék 1. Bevezetés...1 2. Verilog nyelvi elemek...2 2.1. Modulok definiálása...2 2.2. Operátorok...3 2.3. Változók, értékadások...4 2.3.1.

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

LabVIEW mérési jegyzőkönyv

LabVIEW mérési jegyzőkönyv LabVIEW mérési jegyzőkönyv Mérést végezte: Farkas Viktória, Csutak Balázs Mérés helye és ideje: PPKE-ITK 320-as terem, 2016. február 15. (1-3 feladatok) PPKE-ITK 320-as terem, 2016. május 9. (4-6 feladatok)

Részletesebben

Digitális mérések PTE Fizikai Intézet

Digitális mérések PTE Fizikai Intézet Digitális mérések PTE Fizikai Intézet 1 1. A digitális mérés elve A számolás legősibb "segédeszköze" az ember tíz ujja. A tízes számrendszer kialakulása is ehhez köthető. A "digitális" kifejezés a latin

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

Kombinációs hálózatok Adatszelektorok, multiplexer

Kombinációs hálózatok Adatszelektorok, multiplexer Adatszelektorok, multiplexer Jellemző példa multiplexer és demultiplexer alkalmazására: adó egyutas adatátvitel vevő adatvezeték cím címvezeték (opcionális) A multiplexer az adóoldali jelvezetékeken jelenlévő

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek Hobbi Elektronika A digitális elektronika alapjai: További logikai műveletek 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL, 5th.

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

Bevezető az ISE 13.2 rendszer használatához

Bevezető az ISE 13.2 rendszer használatához Bevezető az ISE 13.2 rendszer használatához (Szántó Péter, 2011-09-02) Tartalom Tartalom... 1 1. Az ISE rendszer részei... 1 2. Az ISE rendszer használata az alaplaborban... 3 3. A mintafeladat... 3 4.

Részletesebben

LCD kijelzős digitális tároló szkóp FFT üzemmóddal

LCD kijelzős digitális tároló szkóp FFT üzemmóddal LCD kijelzős digitális tároló szkóp FFT üzemmóddal Type: HM-10 Y2 Y Pos Trig Level HOLD Y1 Bemenet vál. Bemenet Ablak pozició Kijelző 1) Y Pos jel baloldalon egy kis háromszög 0V helyzetét mutatja 2) Trig

Részletesebben

A LOGSYS rendszer ismertetése

A LOGSYS rendszer ismertetése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS rendszer ismertetése Raikovich Tamás BME MIT atórium A LOGSYS

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Xilinx ChipScope ismertető

Xilinx ChipScope ismertető Xilinx ChipScope ismertető Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék 2011-09-01 Tartalom 1. ChipScope Core Insterter... 2 1.1. ChipScope ICON... 4 1.2. ChipScope ILA... 5 2. ChipScope

Részletesebben