HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd."

Átírás

1 HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

2 HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási gyakorlatból HDL tervezés Ericsson Internal Ericsson AB Page 2 (42)

3 A HDL gondolkodásmód A hardverleíró nyelvek kialakulása, tulajdonságai HDL tervezés Ericsson Internal Ericsson AB Page 3 (42)

4 A HDL gondolkodásmód A hardverleíró nyelvek kialakulása, tulajdonságai module my_first_circuit; title 'ee200 assignment 1' EE200XY device 'XC4003E'; " input pins A, B pin 3, 5; " output pins SUM, Carry_out pin 15, 18 istype 'com'; equations SUM = (A &!B) # (!A & B) ; Carry_out = A & B; end my_first_circuit; HDL tervezés Ericsson Internal Ericsson AB Page 4 (42)

5 A HDL gondolkodásmód Nyelvi eszközök vs. grafikus módszerek Személyes preferencia! Mentor HDL Designer NI LabView HDL tervezés Ericsson Internal Ericsson AB Page 5 (42)

6 Órajeltartományok Clock-Domain Crossing CDC HDL tervezés Ericsson Internal Ericsson AB Page 6 (42)

7 Órajeltartományok A probléma: időzítési előírásokat sért! Metastabilitáshoz vezet HDL tervezés Ericsson Internal Ericsson AB Page 7 (42)

8 Órajeltartományok A probléma: időzítési előírásokat sért! Metastabilitáshoz vezet HDL tervezés Ericsson Internal Ericsson AB Page 8 (42)

9 Órajeltartományok HDL tervezés Ericsson Internal Ericsson AB Page 9 (42)

10 Órajeltartományok HDL tervezés Ericsson Internal Ericsson AB Page 10 (42)

11 Órajeltartományok MTBF példa 0.25 m CMOS technológia Órajel 100 MHz A jel kapcsolási sebessége 1 MHz Egyetlen FlipFloppal Kétszintű szinkronizálóra MTBF=21 nap MTBF=134 millió év HDL tervezés Ericsson Internal Ericsson AB Page 11 (42)

12 Órajeltartományok Hibás viselkedések Pulzus elvész, pulzus hossza megváltozik Inkonzisztens értékek különböző felhasználási pontokon Időben összetartozó értékek koherenciája sérül HDL tervezés Ericsson Internal Ericsson AB Page 12 (42)

13 Órajeltartományok Hibás viselkedések HDL tervezés Ericsson Internal Ericsson AB Page 13 (42)

14 Órajeltartományok Hibás viselkedések HDL tervezés Ericsson Internal Ericsson AB Page 14 (42)

15 Órajeltartományok Egyszerű szinkronizáló egybites jelre HDL tervezés Ericsson Internal Ericsson AB Page 15 (42)

16 Órajeltartományok Általános esetben nagyon nehéz a szinkronizálás Speciális tulajdonságok kihasználhatók HDL tervezés Ericsson Internal Ericsson AB Page 16 (42)

17 Órajeltartományok Busz szinkronizálása Gray kóddal HIBÁS! HDL tervezés Ericsson Internal Ericsson AB Page 17 (42)

18 Órajeltartományok Busz szinkronizálása Gray kóddal Helyes HDL tervezés Ericsson Internal Ericsson AB Page 18 (42)

19 Órajeltartományok Busz szinkronizálása ismert paraméterek esetén HDL tervezés Ericsson Internal Ericsson AB Page 19 (42)

20 Órajeltartományok Handshake szinkronizáló általános esetre HDL tervezés Ericsson Internal Ericsson AB Page 20 (42)

21 Órajeltartományok Szinkronizálás FIFO/DPRAM használatával HDL tervezés Ericsson Internal Ericsson AB Page 21 (42)

22 Órajeltartományok Szinkronizálás FIFO/DPRAM használatával HDL tervezés Ericsson Internal Ericsson AB Page 22 (42)

23 Órajeltartományok CDC verifikáció SpyGlass Mentor Questa CDC Gyártóspecifikus szoftverek HDL tervezés Ericsson Internal Ericsson AB Page 23 (42)

24 Reset az FPGA-ban HDL tervezés Ericsson Internal Ericsson AB Page 24 (42)

25 Reset az FPGA-ban HDL tervezés Ericsson Internal Ericsson AB Page 25 (42)

26 Reset az FPGA-ban A reset funkciója A rendszer ismert állapotba való kényszerítése Ebből az állapotból való indítás HDL tervezés Ericsson Internal Ericsson AB Page 26 (42)

27 Reset az FPGA-ban A resettel kapcsolatos megfontolások A resetre ne a hibakezelés elsődleges módjaként tekintsünk! Ha resetet használunk, nem annak meghúzása, hanem elengedése számít! A reset a chip belsejében időzítési szempontból kritikus, nagyon magas fanouttal rendelkező jel, mely a chip különböző, távoli pontjaira juttatandó el. A rendszer, illetve a külvilág szempontjából valójában laza időzítési követelmények állnak csak fenn. HDL tervezés Ericsson Internal Ericsson AB Page 27 (42)

28 Reset az FPGA-ban A reset terjedése HDL tervezés Ericsson Internal Ericsson AB Page 28 (42)

29 Reset az FPGA-ban A reset terjedése HDL tervezés Ericsson Internal Ericsson AB Page 29 (42)

30 Reset az FPGA-ban A reset szinkronizálása Reset from asynchronous source D Q D Q Reset synchronized to the clock-domain Clock Egyszerű metastabilitás-gátló szinkronizáló. A külvilágból érkező jeleket általában ugyanígy kezeljük. Szinkron meghúzást (késleltetést), szinkron elengedést biztosít. HDL tervezés Ericsson Internal Ericsson AB Page 30 (42)

31 Reset az FPGA-ban A reset szinkronizálása Reset from asynchronous source 0 D PRE Q D PRE Q Reset synchronized to the clock-domain Clock Tipikus reset szinkronizáló aszinkron beállítóbemenetű FlipFlopokkal. Aszinkron (azonnali) meghúzást és szinkron elengedést tesz lehetővé. Induláskor (külső reset jel nélkül) is működik, a FF konfiguráció során való inicializálásával. HDL tervezés Ericsson Internal Ericsson AB Page 31 (42)

32 Reset az FPGA-ban A reset szinkronizálása HDL tervezés Ericsson Internal Ericsson AB Page 32 (42)

33 Reset az FPGA-ban A reset szinkronizálása Reset from asynchronous source 0 D PRE Q 0 D PRE Q D PRE Q Reset synchronized to the clock-domain Clock Túl rövid (vagy ismeretlen) pulzus esetére HDL tervezés Ericsson Internal Ericsson AB Page 33 (42)

34 Reset az FPGA-ban Reset szekvenciák Clock of clock-domain 1 Reset for clock-domain 1 Clock of clock-domain 2 Reset for clock-domain 2 Clock of clock-domain 3 Reset for clock-domain 3 Reset from asynchronous source Reset synchronizer Reset timer to next domain Reset synchronizer Reset timer to next domain Reset synchronizer Órajeltartományokat egyszerre indítani általában nem lehet. Szekvenciákat célszerű definiálni, tartományhatárokon újraszinkronizálni. HDL tervezés Ericsson Internal Ericsson AB Page 34 (42)

35 Reset az FPGA-ban Globális vs. lokális reset Globális reset hátrányai Huzalozási erőforrások intenzív használata Nagyobb huzalozási idő, kisebb elérhető sebesség Logikai erőforrások intenzív használata (dedikált reset bemenetek) Megnövekedett erőforrás-igény P&R nehezebb Néhány speciális logikai elem használatát ellehetetleníti HDL tervezés Ericsson Internal Ericsson AB Page 35 (42)

36 Reset az FPGA-ban Globális vs. lokális reset Lokális reset Csak ott, ahol tényleg szükséges A rendszer inicializálását a konfiguráció biztosítja legjobban (Xilinx WP272) HDL tervezés Ericsson Internal Ericsson AB Page 36 (42)

37 Példák a helyes tervezési gyakorlatból Mottó: Nem tervezünk, csak csináljuk (Darvas Péter) Mielőtt kódolnánk: Blokkvázlat, dekompozíció, hierarchia, A szükséges jelek, regiszterek azonosítása. Jel: jelzés, információt szeretnénk átvinni valahová. Tároló, regiszter: információt tárolunk későbbi felhasználásra. Elnevezések körültekintő meghatározása. HDL tervezés Ericsson Internal Ericsson AB Page 37 (42)

38 Példák a helyes tervezési gyakorlatból Az órajel kapuzása FPGA-ban nem javasolt, rontja az időzítéseket (alacsony f max ) Helyette: engedélyezőjel HDL tervezés Ericsson Internal Ericsson AB Page 38 (42)

39 Példák a helyes tervezési gyakorlatból Belső jelek órajelként való használata FPGA-ban nem javasolt, rontja az időzítéseket (alacsony f max ) Helyette: engedélyezőjel HDL tervezés Ericsson Internal Ericsson AB Page 39 (42)

40 Példák a helyes tervezési gyakorlatból Latch használata FPGA-ban nem javasolt, rontja az időzítéseket (alacsony f max ) Helyette: élvezérelt FlipFlop HDL tervezés Ericsson Internal Ericsson AB Page 40 (42)

41 Példák a helyes tervezési gyakorlatból Háromállapotú jelek Az FPGA belsejében nincsenek háromállapotú jelek, azokat a lábakhoz kapcsolódó meghajtók állítják elő. Az enegedélyezőjelet közvetlenül regiszterkimenetről szabad csak hajtani a glitch-ek elkerülése végett. A hierarchiában célszerű a logikától elkülönítve kezelni ezeket a buffereket. HDL tervezés Ericsson Internal Ericsson AB Page 41 (42)

42 Példák a helyes tervezési gyakorlatból Pipeline-osítás Fanout csökkentés Nevezési konvenciók, kódolási szabályok HDL tervezés Ericsson Internal Ericsson AB Page 42 (42)

43 Bónusz Computers from the Inside Out Richard Feynman Flashing LEDs - Z80 Style Julian Ilett HDL tervezés Ericsson Internal Ericsson AB Page 43 (42)

44 További Olvasnivalók Xilinx Xapp094 Metastable Recovery in Virtex-II Pro FPGAs Altera WP Understanding Metastability in FPGAs Intel FPGA WP Understanding Peak Floating-Point Performance Claims Mentor Graphics Avoiding Metastability in FPGA Devices MAPLD 2009 HDL tervezés Ericsson Internal Ericsson AB Page 44 (42)

45

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

DIGITÁLIS TECHNIKA 7-ik előadás

DIGITÁLIS TECHNIKA 7-ik előadás IGITÁLI TECHNIKA 7-ik előadás Előadó: r. Oniga István Egyetemi docens 2/2 II félév zekvenciális (sorrendi) hálózatok zekvenciális hálózatok fogalma Tárolók tárolók JK tárolók T és típusú tárolók zámlálók

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

Digitálistechnika II. 1. rész

Digitálistechnika II. 1. rész Digitálistechnika II. 1. rész Oktatási cél: A tárgy keretében a Digitális technika I. tárgyban szerzett elméleti ismeretek elmélyítésére kerül sor. A hallgatók gyakorlati feladat-megoldások segítségével

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 1. CPLD komplex programozható logikai eszközök 1 Tartalom Újrakonffigurálható eszközök: PAL, PLA, CPLD, FPGA Az ALTERA MAXII EPM240 CPLD bemutatása A C-M240 fejlesztői kártya

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 6. Véges állapotgépek: közlekedési lámpa vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Számítógép Architektúrák

Számítógép Architektúrák Számítógép Architektúrák Perifériakezelés a PCI-ban és a PCI Express-ben 2015. március 9. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Tartalom A

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

Bevitel-Kivitel. Eddig a számítógép agyáról volt szó. Szükség van eszközökre. Processzusok, memória, stb

Bevitel-Kivitel. Eddig a számítógép agyáról volt szó. Szükség van eszközökre. Processzusok, memória, stb Input és Output 1 Bevitel-Kivitel Eddig a számítógép agyáról volt szó Processzusok, memória, stb Szükség van eszközökre Adat bevitel és kivitel a számitógépből, -be Perifériák 2 Perifériákcsoportosításá,

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Rendszer órajel Órajel osztás XTAL Divide Control (XDIV) Register 2 129 oszthat Órajel források CKSEL fuse bit Külső kristály/kerámia rezonátor Külső

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK ZÁRÓVIZSGA TEMATIKA Főiskolai szintű Villamosmérnöki szak Nappali tagozat FOLYAMATIRÁNYÍTÁSI ÉS KOMMUNIKÁCIÓTECHNIKAI SZAKISMERETEK (FVA)

Részletesebben

LabView Academy. 4. óra párhuzamos programozás

LabView Academy. 4. óra párhuzamos programozás LabView Academy 4. óra párhuzamos programozás Ellenőrző kérdések Hogyan lehet letiltani az automatikus hibakezelés funkciót? a) Engedélyezzük az Execution highlighting ot b) A subvi error out cluster-jét

Részletesebben

Témakiírások 2014/15. őszi félévben

Témakiírások 2014/15. őszi félévben Témakiírások 2014/15. őszi félévben Témavezető: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu voroshazi.zsolt@virt.uni-pannon.hu Veszprém, 2014. szeptember 9. Témaismertetés #1 National Instruments - LabView

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

Szekvenciális hálózatok Állapotdiagram

Szekvenciális hálózatok Állapotdiagram Szekvenciális hálózatok Állapotdiagram A kombinatorikus hálózatokra jellemző: A kimeneti paramétereket kizárólag a mindenkori bemeneti paraméterek határozzák meg, a hálózat jellegének, felépítésének megfelelően

Részletesebben

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38 Bevezetés... 11 1. A VHDL mint rendszertervező eszköz... 13 1.1. A gépi tervezés... 13 1.2. A VHDL általános jellemzése... 14 1.3. Tervezési eljárás VHDL-lel... 15 2. A VHDL nyelv alapszabályai... 19 2.1.

Részletesebben

Munkakör-értékelés. Eredmények felhasználása: Két módszercsoport: Bérezési rendszer Besorolási rendszer

Munkakör-értékelés. Eredmények felhasználása: Két módszercsoport: Bérezési rendszer Besorolási rendszer Munkakörértékelés Kérdések Hogyan alkalmaznád a munkakör-értékelés eredményét? Milyen arányban szerepelne a munkakör-értékelés és a munkakört betöltő teljesítménye a bérben, illetve a képzési program kialakításában?

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

Laborsegédlet 3. Labor

Laborsegédlet 3. Labor 1/6. oldal Logisztikai rendszerek irányítás és automatizálás technikája I. CX-Programmer: 3. Labor A CX Programmer az OMRON PLC-k programozó szoftvere. Új program megnyitásának lépései: FILE NEW Device

Részletesebben

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre VEZÉRLŐK Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. A fealdatot

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

Szintézis attributumok és constraint-ek

Szintézis attributumok és constraint-ek és constraint-ek BLACK BOX: forrás szinten nem adott modul (pl. szintetizált huzalozási lista) attribute black_box : string; attribute black_box of beh : architecture is yes; CLOCK_BUFFER_TYPE entity test

Részletesebben

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók. Megoldás Digitális technika II. (vimia111) 1. gyakorlat: Digit alkatrészek tulajdonságai, funkcionális elemek (MSI) szerepe, multiplexer, demultiplexer/dekóder Elméleti anyag: Digitális alkatrészcsaládok

Részletesebben

Számítógép architektúrák 2. tétel

Számítógép architektúrák 2. tétel Számítógép architektúrák 2. tétel Elemi sorrendi hálózatok: RS flip-flop, JK flip-flop, T flip-flop, D flip-flop, regiszterek. Szinkron és aszinkron számlálók, Léptető regiszterek. Adatcímzési eljárások

Részletesebben

LOGSYS DIGITÁLIS VIDEO INTERFÉSZ MEGVALÓSÍTÁSA A LOGSYS KINTEX-7 FPGA KÁRTYÁVAL június 16. Verzió 1.0.

LOGSYS DIGITÁLIS VIDEO INTERFÉSZ MEGVALÓSÍTÁSA A LOGSYS KINTEX-7 FPGA KÁRTYÁVAL június 16. Verzió 1.0. DIGITÁLIS VIDEO INTERFÉSZ MEGVALÓSÍTÁSA A LOGSYS KINTEX-7 FPGA KÁRTYÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 A VGA kép felépítése... 1 3 A TMDS adó megvalósítása...

Részletesebben

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő)

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő) Memóriák (felejtő) Memória Kapacitás Ár Sebesség Memóriák - tárak Háttértár (nem felejtő) Memória Vezérlő egység Központi memória Aritmetikai Logikai Egység (ALU) Regiszterek Programok Adatok Ez nélkül

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

Mikrovezérlők Alkalmazástechnikája

Mikrovezérlők Alkalmazástechnikája Gingl Zoltán, 2013, Szeged Mikrovezérlők Alkalmazástechnikája 2015.06.28. 22:20 Működést támogató perifériák és használatuk 1 A processzornak ütemjel (órajel) szükséges Számos periféria órajelét is adja

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék FPGA & Verilog ismertetı BME Méréstechnika és Információs Rendszerek Tanszék FPGA-k FPGA: Field Programmable Gate Array programozható logikai áramkör Jelentısebb gyártók: Xilinx, Altera, Actel, Quicklogic,

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel SRAM FPGA Architektúrák

Digitális rendszerek tervezése FPGA áramkörökkel SRAM FPGA Architektúrák BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel SRAM FPGA Architektúrák

Részletesebben

INVERSE MULTIPLEXER RACK

INVERSE MULTIPLEXER RACK SP 7505 Tartalomjegyzék...1 Általános ismertetés...2 Követelmények...2 Felépítése és működése...3 Beállítások...3 Felügyelet...3 Csatlakozók...3 Kijelzők...3 Műszaki adatok:...4 G703 felület:...4 LAN felület:...4

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS ELŐÍRT TANKÖNYV-IRODALOM Sorrendi hálózatok, flip-flopok, regiszterek, számlálók,

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport)

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport) Információfeldolgozás laboratórium (BMEVIMIM322) Tárgyfelelős: dr. Sujbert László Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon Krébesz Tamás és dr. Sujbert László (BME-MIT-Beágyazott

Részletesebben

3. Gyors útmutató 4. Garanciakártya

3. Gyors útmutató 4. Garanciakártya A csomag tartalma 1. Prestigio webkamera főegység 2. Alkalmazás szoftver CD Használatvétel 3. Gyors útmutató 4. Garanciakártya 1. Kapcsolja be a PC-t, vagy notebookot. 2. Dugja be az USB csatolót a PC,

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Microblaze Micro Controller

Microblaze Micro Controller Microblaze MCS 2004 Xilinx, Inc. All Rights Reserved Microblaze Micro Controller Tulajdonságok MicroBlaze processzor LMB BRAM memória MicroBlaze Debug Module (MDM) LMB buszra illesztett IO modulok Külső

Részletesebben

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)=

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)= 1. ZH A 1.)FPGA A Xilinx FPGA áramköröknek három alapvető építőeleme van: CLB: konfigurálható logikai blokk: szükséges logikai kapcsolatok megvalósítása egy logikai tömbben. Tartalmaz 2db. D Flip-Flop-ot

Részletesebben

Elektronikus dobókocka tervezési példa

Elektronikus dobókocka tervezési példa Elektronikus dobókocka tervezési példa (file: kocka-pld-sp3, H.J., 2006-10-16) Ebben a mintapéldában egy elektronikus dobókockát tervezünk. Ezzel a tervezési példával a Mérés laboratórium I. gyakorlatok

Részletesebben

Villamosmérnöki BSc Záróvizsga tételsor Módosítva 2016. január 6. DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK

Villamosmérnöki BSc Záróvizsga tételsor Módosítva 2016. január 6. DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK 1. A Boole algebra axiómái és tételei. Logikai függvények megadása. A logikai függvények fajtái. Egyszerősítés módszerei. 2. A logikai függvények kanonikus alakjai. Grafikus

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben

PROGRAMOZHATÓ LOGIKAI. Előadó: Dr. Oniga István

PROGRAMOZHATÓ LOGIKAI. Előadó: Dr. Oniga István PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter, Lazanyi

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 Tervezés FPGA-ra, Verilog, SystemC http://www.eet.bme.hu/~poppe/miel/hu/18-ictervezes3.ppt http://www.eet.bme.hu Tervezés FPGA-ra

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

Szűrő architektúrák FPGA realizációjának vizsgálata

Szűrő architektúrák FPGA realizációjának vizsgálata Szűrő architektúrák FPGA realizációjának vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Szántó Péter, 2013. Bevezetés Az FPGA-ban megvalósítandó jelfeldolgozási feladatok közül a legfontosabb

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Vodafone ODI ETL eszközzel töltött adattárház Disaster Recovery megoldása. Rákosi Péter és Lányi Árpád

Vodafone ODI ETL eszközzel töltött adattárház Disaster Recovery megoldása. Rákosi Péter és Lányi Árpád Vodafone ODI ETL eszközzel töltött adattárház Disaster Recovery megoldása Rákosi Péter és Lányi Árpád Adattárház korábbi üzemeltetési jellemzői Online szolgáltatásokat nem szolgált ki, klasszikus elemzésre

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Xilinx System Generator Szántó Péter BME MIT, FPGA Laboratórium Xilinx System Generator MATLAB Simulink Toolbox Simulink Modell alapú grafikus

Részletesebben

Méréstechnika. 3. Mérőműszerek csoportosítása, Elektromechanikus műszerek általános felépítése, jellemzőik.

Méréstechnika. 3. Mérőműszerek csoportosítása, Elektromechanikus műszerek általános felépítése, jellemzőik. 2 Méréstechnika 1. A méréstechnika tárgya, mérés célja. Mértékegységrendszer kialakulása, SI mértékegységrendszer felépítése, alkalmazása. Villamos jelek felosztása, jelek jellemző mennyiségei, azok kiszámítása.

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGIÁLIS ECHNIA II r Lovassy Rita r Pődör Bálint Óbudai Egyetem V Mikroelektronikai és echnológia Intézet 3 ELŐAÁS 3 ELŐAÁS ELEMI SORRENI HÁLÓZAO: FLIP-FLOPO (2 RÉSZ) 2 AZ ELŐAÁS ÉS A ANANYAG Az előadások

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Verilog RTL kódolás Fehér

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben