DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ"

Átírás

1 Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest,

2 Bevezetés Az Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar nappali tagozaton lévő hallgatói részére a Digitális technika II. laboratóriumon belül 3 db. számítógépes mérési gyakorlat áll rendelkezésre. Előtanulmányok: Digitális technika I és Digitális technika II. Egy-egy gyakorlat elvégzése 4 órás foglalkozást igényel. A gyakorlat digitális alapáramkörök működésének vizsgálatával foglalkozik, megismerve a SPARTAN 3S 500E típusú FPGA fejlesztőpanel (Digilent) belső felépítését, ill. az FPGA fejlesztés menetét. A nagybonyolultságú IC-k alkalmazása és a velük való tervezés számítógépek segítségével és egyre nagyobb tudású CAD (Computer Aided Design) rendszerekkel történik. Különösen nagy jelentősége van a programozható logikák elterjedésének, melyek segítségével jelentősen lerövidített tervezési ciklus nagymértékű anyagi megtakarítást jelent [Benesóczky Zoltán: Digitális tervezés funkcionális elemekkel és mikroprocesszorral, Műegyetemi Kiadó, 2008]. Felhasználó által specifikált, ill. programozható eszközöket az előkészítettségük alapján, az alábbi módon csoportosítják: Egyedi tervezésű (Fully Custom) Félig előkészített (Semi Custom), mely lehet: - Cella könyvtáras (Standard Cells) - Maszk programozott eszközök (Mask Programmable Gate Array) - Programozható logikák (FPGA- Field Programmable Devices) Felhasználó által programozható eszközöket bonyolultságuk és felépítésük szerint az alábbi csoportokra oszthatjuk: Kisebb bonyolultságú eszközök (PROM-ok, bipoláris, ECL és CMOS technológia) Programmable Logic Devices (PLD-k, AND-OR struktúra, főként CMOS technológia) - PAL (AND mátrix programozható) - PLA (AND és OR mátrix programozható) Közepes és nagy bonyolultságú eszközök (CMOS technológia) - CPLD (komplex PLD-k, egy eszközben több PLD áramkör) - FPGA (Field Programmable Gate Array, egy eszközben rendkívül sok, viszonylag egyszerű logikai tömb, programozható összeköttetésekkel) FPGA eszközök [Benesóczky Zoltán: Digitális tervezés funkcionális elemekkel és mikroprocesszorral, Műegyetemi Kiadó, 2008]. A nagy alkatrészsűrűség és programozhatóság miatt prototípustervezésre és kis sorozatú nagy bonyolultságú speciális logikák megvalósítására a legalkalmasabb eszköz. A chip felületén többnyire egyenletesen vannak elhelyezve a konfigurálható logikai blokkok (Configurable Logic Bloks CLBs), és azok összeköttetését lehetővé tevő, hierarchikus huzalozási erőforrások. A PLD-khez képest egyszerűbbek és kisebbek a logikai cellák, így azokhoz hasonló bonyolultságú logika csak többszintű hálózattal építhető fel. A jelterjedési idők, maximális órajel frekvencia, órajel csúszás nagymértékben függnek a tervező rendszer minőségétől is. De, a hagyományos gate-array-khez képest alacsonyabb a kapusűrűségük, lassabbak és nagy sorozat esetén magasabb az áruk. Programozás szempontjából két technológia terjedt el: - egyszer programozható antifuse - SRAM a konfiguráció bármikor megváltoztatható - 2 -

3 FPGA k elrendezései Az FPGA-kat geometriai elrendezésük alapján négy csoportra osztják: - Szimmetrikus tömb a logikai blokkokat vízszintesen és függőlegesen elhelyezett huzalozással lehet összekötni. 1. ábra - Aszimmetrikus vagy sor bázisú a logikai blokkok sorokba vannak elrendezve, közöttük vízszintes és függőleges huzalozás található 2. ábra - Hierarchikus PLD (CPLD) a PLD jellegű logikai blokkokat globális huzalozási mező, a PLD blokkon belüli logikát pedig lokális huzalozás kapcsolja össze. 3. ábra - - Egyszintű (Sea of gate) az elemi logikai erőforrások (tranzisztorok, kapuk) szinte egyenletesen helyezkednek el a chip felületén, közöttük minimális huzalozás található - 3 -

4 4. ábra Logikai erőforrások Az FPGA-k logikai erőforrásai általában azonos felépítésűek, viszonylag egyenletesen elosztva. Eltérő komplexitású blokkokból is építkezhetnek. A legkisebb bonyolultság a tranzisztor szint, a legnagyobb pedig a PLD-k konfigurálható logikai blokkjának felel meg. Alap építőelemek Az FPGA-k alap építőelemei többnyire a következők: - tranzisztor (tranzisztor tömbök) - NAND kapu - Multiplexer logika - Memória táblázat (Look Up Table) Huzalozási erőforrások 5. ábra Az FPGA-k huzalozása általában valamilyen hierarchikus elrendezést követ: - közvetlen huzalozás a szomszédos kapcsolatok létrehozására - huzalozás a lokális, ill. regionális kapcsolatok létrehozására - globális huzalozási erőforrások általános célra I/O cellák Az FPGA-k bemeneteire I/O cellákon keresztül kerül a bemenő jel, ill. ezen keresztül tartja a kapcsolatot a kimenettel. Tulajdonságaik: - választható direkt bementek, tárolt (regiszter vagy latch) bemenetek - sok esetben jelszint választási lehetőség van (TTL kompatibilis, CMOS kompatibilis) - programozható direkt kimenetek, regiszteres kimenetek, háromállapotú, open drain-es kimenet - kimeneti jelszint választási lehetőség - programozható slew rate korlátozási lehetőség - 4 -

5 XILINX FPGA családok Look up table logikára példa a XILINX FPGA család. Jellemzői: - kapcsolómátrixokkal összeköthető vízszintes és függőleges huzalozási szegmensekkel alakítható ki kapcsolat a távoli logikai blokkok között 6. ábra - a szomszédos logikai blokkok direkt is összekapcsolhatók - a gyors jelek számára vízszintes és függőleges ún. long line-ok biztosítják a kis jelkésleltetést - a logikai blokkok SRAM (Look Up Table, LUT) alapúak, D flip-flopokkal kiegészítve (aszinkron set és reset lehetőséggel) - Az I/O blokkok konfigurálhatók kombinációs vagy regiszteres bemenetként, kombinációs kimenetként, és a XC 3000 és XC 4000 családnál regiszteres kimenetként is programozható polaritással - Szimmetrikus elrendezésű, egyenletesen elhelyezett logikai blokkok XILINX SPARTAN-3E FPGA Alkotó elemei: - konfigurálható logikai blokk tömbök (Configurable Logical Blocks - CLBs) - I/O cellák - RAM egységek - 18x18-as multiplexerek - Digital Clock Manager (DCM) blokkok feladata a jelkésleltetések kiküszöbölése, továbbá alkalmas az órajel frekvenciájának növelésére, ill. csökkentésére A Spartan-3E FPGA minden egyes CLB-je 4 részből áll, mindegyikük 16x1 RAM-os LUT-tal, melyek bármilyen 4 változós kombinációs logikai hálózat megvalósítására alkalmasak. A CLB mindegyik része két-két LUT és két-két D tárolót tartalmaz. Lásd a 7. ábra szerint

6 7. ábra A gyakorlatok digitális alapáramkörök működésének vizsgálatával foglalkoznak, megismerve a SPARTAN 3S 500E típusú FPGA fejlesztőpanel (Digilent) belső felépítését, ill. az FPGA fejlesztés menetét. A Nexys-2 fejlesztőpanelen lévő Xilinx Spartan3E-500FG320 típusú FPGA jellemzői: CLB-k, melyek 46 sort és 34 oszlopot tartalmaznak szelet, mely összesen LUT-t és flip-flopokat foglal magában bites RAM Általában az FPGA-k felhasználási területe nagyobb, mint a CPLD-é, lásd az alábbi táblázat [R. E. Haskell, D. M. Hanna: Digital Design Using Digilent FPGA Boards, LBE Books, Rochester Hills, MI, 2009]. 1. táblázat Köztudott, hogy az FPGA-k nem tartalmaznak egyszerű VAGY és ÉS kapukat. A táblázatban szereplő No. of Gates az FPGA RAM-jaiban elhelyezkedő LUT blokkok kapuira vonatkozik. Mindegyik rész 2-2 ÉS kaput és 2-2 XOR kaput tartalmaz, melyek az aritmetikai műveletek (összegzés és szorzás) elvégzését segítik. Figyelem: az FPGA-k milliós nagyságrendben tartalmaznak logikai kapukat és tízezres nagyságrendben flip-flopokat. Ezért, ez az eszköz nagy alkatrészsűrűség és programozhatóság miatt prototípustervezésre és kis sorozatú nagy bonyolultságú speciális logikák megvalósítására alkalmas. Javasolt hardware leíró nyelv a VHDL vagy a Verilog. VHSIC HDL, azaz Very High Speed Integrated Circuit Hardware Description Language

7 Céljai: Rugalmas leírást nyújtson Minden szimulátorral ugyanazt az eredményt adja Technológia függetlenség Az FPGA alkalmazási területe egyre növekszik. Néhány jellemző terület: digitális jelfeldolgozás, űrkutatási és katonasági rendszerek, orvosi képalkotás, számítógépes látás, beszédfelismerés, kriptográfia, bioinformatika stb

8 SPARTAN 3S 500E típusú FPGA fejlesztőpanel ismertetése A mérőpanel előlapján vannak elhelyezve mindazon elemek, melyek a betöltött program működtetéséhez illetve kijelzéséhez szükségesek: 8 db. kétállású kapcsoló (L és H szintek beállításához) 4 db. nyomógomb (alaphelyzetben: L szint generálásához) 10 db. LED (a vizsgálni kívánt belső állapotok kijelzéséhez) A plexi takarólap alatt 4 db. 7 szegmenses kijelző található 8. ábra A panel hátoldalán 9 + (1 GND) banánhüvely csatlakozók (I/O portokként használhatók), továbbá egy telep csatlakozó (nem használjuk), valamint egy tápfeszültség forrás választó háromállású tolókapcsoló (középső állás: kikapcsolt állapot) található. 9. ábra A panel baloldalán található mini USB vel csatlakoztatható a számítógéphez

9 10. ábra Használati útmutató A fejlesztőpanelt kapcsolja be és a mini USB kábel segítségével csatlakoztassa a számítógéphez. A számítógépen indítsa el a XILINX ISE DESIGN SUITE 14.7 fejlesztő programot. A szoftver és az FPGA honlapja: ábra Minden projekt saját külön könyvtárba kerül, amelyet a program automatikusan létrehoz. A projekteket a c:\users\digitlab\documents\xilinx\%saját_teljes_név könyvtárba mentse, a név ékezet nélkül! Hozzon létre egy új projektet: New Project (A Top- level source type: Schematic legyen). Amennyiben a program indítása után automatikusan meg nyílik egy projekt a File - Close Project el zárjuk be. A Projekt név első betűje csak az angol abc betűi közül lehet, továbbá tartalmazhat számot és néhány speciális karaktert (. - _)

10 12. ábra A Next gombra kattintás után megjelenő ablakban a rendelkezésünkre álló FPGA típus tulajdonságait állítja be. 13. ábra

11 A Next kiválasztása után megjelenő ablakban egy összefoglalót kap a projektről, ha minden adatot leellenőrzött és rendben talált, akkor: Finish. 14. ábra A következő lépésként hozza létre a forrás fájlt, Project New Source amely Schematic típusú. A File név első betűje csak az angol abc betűi közül lehet, továbbá tartalmazhat számot és néhány speciális karaktert (. - _) 15. ábra

12 Ezt követően elkezdheti az elvi rajz szerkesztését a jobb oldali nagy fehér munkaterületen. 16. ábra Az elvi rajz szerkesztése a megfelelő szimbólumok kiválasztásából, (Symbols), azok helyre vonszolásából (kattint/húz), a kivezetések összekötéséből (Add Wire), I/O csatlakozási pontok (I/O Marker) hozzá adásából, esetleg bus hozzá adásából és ebben az esetben busz kivezetés hozzá adásából (Add Bus Tap) áll. A rajzterületen elhelyezett alkatrészek orientációját kétféleképpen is meg lehet változtatni. A Symbols ablakon, az alkatrész kijelölése után, az "Orientation" legördülő menüben adható meg a kívánt orientáció. Később, a rajzterületen elhelyezett alkatrész forgatásához először ki kell jelölni az elemet, majd a CTRL+Z és CTRL+R billentyű kombinációk használatával lehet elforgatni a kijelölt elemet. 17. ábra

13 Az I/O markerek, a Bus Tap, a bufferek, a Bus és kivezetéseinek elhelyezése: 18. ábra Megjegyzés: Az alkatrész Bus kivezetésére kattintva közönséges vonalat kell húzni (Add Wire), és a program automatikusan bus vonalat (vastag vonal) húz. A Bus és kivezetéseinek editálása dupla klikkel történik, ekkor a megjelenő ablakban elnevezhetjük a bus-t vagy annak egy kivezetését (Value). Ezt követően Apply, majd Edit. 19. ábra

14 A megjelenő ablakban pozícionálhatja és elhelyezheti a nevét. 20. ábra Hasznos kiegészítés: A program lehetővé teszi azt, hogy a projekt elvi rajzába saját alkatrészt definiáljon. Ez akkor hasznos, ha az áramkör működtetéséhez egy új (könyvtárban nem található) önálló elem szükséges, vagy esetleg egy meglévő részletei zavaróak. (Pl: egy számláló működtetéséhez szükséges egy órajel generátor, de ennek az elvi rajza zavarná a számláló elvi rajzának áttekinthetőségét). Saját alkatrész definiálása a megnyitott projektben: Project New Source Next Finish 21. ábra Ezt követően megjelenik egy új munkaterület, melyben megszerkesztheti (vagy bemásolhatja) a saját alkatrész elvi rajzát

15 Ezt mentse el, majd: Tools Symbol Wizard Next 22. ábra A megjelenő ablakban beírhatja az alkatrésze kivezetéseinek nevét, típusát, elhelyezését. Next 23. ábra

16 A következő ablakban a kivezetések és a feliratok méreteit állíthatja be: Next 24. ábra A következő ablakban megtekintheti az alkatrész szimbólumát, és ha rendben találta: Finish 25. ábra 26. ábra

17 A megjelenő oldalon zárja be a munkaterületet és ezt követően megjelenik az új alkatrész, melyet a szokásos módon használhat. Ha szeretné a Symbols ok közé elmenteni, akkor a Tools-Symbol Library Maneger menü pontot kell használni. Ha megrajzolta az elvi rajzot, mentse el és jelölje ki a legfelső szintű fájlt és kattintson duplán a Floorplan Area /IO/Llogic (PlanAhead) Ezzel elindítja az *.ucf fájl generálását, amelyben az elvi rajzon elhelyezett I/O markereket az FPGA ténylegesen elérhető fizikai lábaihoz rendelheti. 27a. ábra Rövid várakozás után, ha hibátlanul dolgozott, megjelenik az alábbi ablak, melyben az FPGA pinjeit hozzá rendelheti a külső csatlakozó pontokhoz (kapcsolók, nyomó gombok, LED-ek, banánhüvelyek.stb)

18 Amennyiben hiba illetve figyelmeztetés keletkezik, azt a megfelelő ablak aktiválásával tudja elolvasni. 27b. ábra 28 a. ábra Ezután válassza ki a I/O Planning ot illetve nyissa ki a + Scalar ports ot

19 28b. ábra Megfogva a portot rá lehet húzni a megfelelő FPGA lábra, illetve a Site oszlopba a port mellé lehet írni vagy ki is lehet választani

20 A külső csatlakozási pontokra kivezetett FPGA I/O portjainak kódjait az alábbi táblázatok tartalmazzák: Kapcsolók: Kapcsolók sorszáma FPGA I/O Portjainak kódja K1 L15 K2 K12 K3 L17 K4 M15 K5 K13 K6 L16 K7 M14 K8 M16 Nyomógombok: LED-ek: Nyomógombok sorszáma N1 N2 N3 N4 FPGA I/O Portjainak kódja M13 R18 R15 T17 Banánhüvelyek: LED-ek sorszáma L1 L2 L3 L4 L5 L6 L7 L8 L9 L10 FPGA I/O Portjainak kódja J13 M18 N18 P18 K14 K15 J15 J14 G16 J12 Banánhüvelyek sorszáma C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 FPGA I/O Portjainak kódja P17 R16 T18 U18 G15 J16 G13 H16 H15 GND Belső 50MHz-es órajel: B8 Egymáshoz rendelés után mentse el az *. ucf fájlt és zárja be ezt az ablakot

21 A konfiguráció bitminta beállításához kattintson a Generate Programming File / Properties utasításra (jobb egérgomb): 29. ábra A megjelent ablakban állítsa be kiválasztott fájlok elkészítését: 30. ábra Majd indítsa el a program fájl (*.bit) elkészítését. (Generate Programming File dupla clikk)

22 31. ábra Ha hibátlanul dolgozott, a folyamat végén automatikus választ küld a program. A projekt könyvtárban megjelenik a *.bit kiterjesztésű programfájl. Az elkészült programot a következőképpen tudja az FPGA-b letölteni: Dupla klikk a Generate Target PROM/ACE File -n Utána: OK 32. ábra

23 33. ábra A Launch Wizard futtatása: 34. ábra Majd követi a képeken lévő lépeseket: 35. ábra

24 36. ábra Kiválasztja a generált bit kiterjesztésű fájlt: 37. ábra Majd követi az ábrákon lévő lépeseket: 38. ábra 39. ábra

25 40. ábra A fájl a Program feliraton dupla klikkel töltődik le az FPGA-ba. 41. ábra Mérések menete: - ha van az adott méréshez házi feladat, azt otthon kell elkészíteni! - az órán elkészített mindenegyes működő áramkört be kell mutatni a mérésvezetőnek! - az órán elvégzett mérésekből a következő mérési alkalomra jegyzőkönyvet kell készíteni! - a jegyzőkönyvnek tartalmaznia kell: a mérési pontot, a mérési feladatot, a kapcsolási rajzot, a mérési eredményeket, tapasztalatokat. - a jegyzőkönyvet ajánlott számítógéppel készíteni, de elfogadjuk a kézzel készítetett is, de ebben az esetben a rajzokat vonalzó használatával kell el készíteni. - a jegyzőkönyveket papíron kérjük leadni, elektronikus formában nem fogadjuk el. - a jegyzőkönyv előlapja:

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába

Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába 4. kiadás (WebPACK 5.1i) Készítette: Farkas Szabolcs E-mail: szfarkas@chipcad.hu 2003. ChipCAD Elektronikai Disztribúció Kft. 1046 Budapest, Kiss

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben

A PLÁ k programozhatóságát biztosító eszközök

A PLÁ k programozhatóságát biztosító eszközök Programozható logikai áramkörök A PLÁ k programozhatóságát biztosító eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések Hobbi Elektronika Bevezetés az elektronikába: Boole algebra, logikai kifejezések 1 Felhasznált anyagok Mészáros Miklós: Logikai algebra alapjai, logikai függvények I. BME FKE: Logikai áramkörök Electronics-course.com:

Részletesebben

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK)

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A digitális berendezések a feladatuk ellátása közben rendszerint nagy mennyiségű adatot dolgoznak fel. Feldolgozás előtt és után rendszerint tárolni kell az adatokat ritka

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

TC-DVR MN30xx. Digitális videó rögzítő. Felhasználói kézikönyv

TC-DVR MN30xx. Digitális videó rögzítő. Felhasználói kézikönyv TC-DVR MN30xx Digitális videó rögzítő Felhasználói kézikönyv 4/8/16 csatornás DVR-ekhez V1.0 Minden jog fenntartva FIGYELEM Köszönjük, hogy megvásárolta ezt a digitális videórögzítőt. A DVR használata

Részletesebben

Kezelési leírás. Agilent 34410A Digitális asztali multiméter

Kezelési leírás. Agilent 34410A Digitális asztali multiméter Kezelési leírás Agilent 34410A Digitális asztali multiméter Tartalom 1. Módválasztás... 2 2. Méréshatár kiválasztás... 2 3. A multiméter csatlakoztatása számítógéphez, Excel-táblázat készítése beépülő

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Digitális rendszerek I. rész Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu A tárgy célja Bevezető Digitális rendszertervezés alapjai Programozható logikai áramkörök Hardverleíró nyelvek (VHDL) A digitális

Részletesebben

TC-DVR SS3016. Digitális videó rögzítő. Felhasználói kézikönyv

TC-DVR SS3016. Digitális videó rögzítő. Felhasználói kézikönyv TC-DVR SS3016 Digitális videó rögzítő Felhasználói kézikönyv V1.0 Minden jog fenntartva FIGYELEM Köszönjük, hogy megvásárolta ezt a digitális videórögzítőt. A DVR használata előtt olvassa el figyelmesen

Részletesebben

IDAXA-PiroSTOP HI1 Hurokkezelő 1 intelligens hurokhoz 2004/0177/063 Terméklap

IDAXA-PiroSTOP HI1 Hurokkezelő 1 intelligens hurokhoz 2004/0177/063 Terméklap IDAXA-PiroSTOP HI Hurokkezelő intelligens hurokhoz 24/77/63 Terméklap Hexium Kft. HI Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VEZ EGYSÉG FELÉ... 5 2.3

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus A 10/07 (II. 27.) SzMM rendelettel módosított 1/06 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

CellCom. Szoftver leírás

CellCom. Szoftver leírás CellCom Szoftver leírás A vezérlő szoftver bemutatása 2 www.lenyo.hu Tartalom LCC vezérlőszoftver 5 Rendszerkövetelmények 5 Telepítés 5 Indítás 7 Eltávolítás, újratelepítés és javítás 8 Kulcskezelés 8

Részletesebben

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók Bemutatás Leírás A legújabb fejlesztésű STIM DSCR univerzális vevőegység a DSC egyirányú vezeték nélküli eszközeinek a jelzéseit fogadja és azokat 5 darab szabadon konfigurálható relével bármilyen központra

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Kötegelt nyomtatványok kezelése a java-s nyomtatványkitöltő programban (pl.: 1044 kötegelt nyomtatvány - HIPA; 10ELEKAFA - Elekáfa)

Kötegelt nyomtatványok kezelése a java-s nyomtatványkitöltő programban (pl.: 1044 kötegelt nyomtatvány - HIPA; 10ELEKAFA - Elekáfa) Kötegelt nyomtatványok kezelése a java-s nyomtatványkitöltő programban (pl.: 1044 kötegelt nyomtatvány - HIPA; 10ELEKAFA - Elekáfa) Kötegelt nyomtatványok általános jellemzői Minden nyomtatványköteg áll

Részletesebben

Hardware minőségellenőrzése az elektronikai gyártási folyamat során Ondrésik Tamás, O0QUL3

Hardware minőségellenőrzése az elektronikai gyártási folyamat során Ondrésik Tamás, O0QUL3 Hardware minőségellenőrzése az elektronikai gyártási folyamat során Ondrésik Tamás, O0QUL3 A számítógépek és minden egyéb elektronikai termék áramköreinek gyártása közben számos tesztelő és vizsgáló folyamat

Részletesebben

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK ZÁRÓVIZSGA TEMATIKA Főiskolai szintű Villamosmérnöki szak Nappali tagozat FOLYAMATIRÁNYÍTÁSI ÉS KOMMUNIKÁCIÓTECHNIKAI SZAKISMERETEK (FVA)

Részletesebben

MUNKAANYAG. Tordai György. Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása

MUNKAANYAG. Tordai György. Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása Tordai György Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

Digitális bemenetek: 2 darab 0-5V jelszintű digitális bemenet Pl. nyitásérzékelők, risztóközpontok, mozgásérzékelők, átjelzők, stb.

Digitális bemenetek: 2 darab 0-5V jelszintű digitális bemenet Pl. nyitásérzékelők, risztóközpontok, mozgásérzékelők, átjelzők, stb. Termék Ismertető Műszaki Információk Használati utasítás Technikai adatok: Tápfeszültség: 12-24V Digitális / Logikai kimenetek: 8 darab open-collector kimenet, közvetlenül relé meghajtására alkalmasak,

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: egyszerű logikai kapuk vizsgálata Logikai műveletek Tervezz egy egyszerű logikai kapukat

Részletesebben

Programozható logikai vezérlõk

Programozható logikai vezérlõk BUDAPESTI MÛSZAKI EGYETEM KÖZLEKEDÉSMÉRNÖKI KAR KÖZLEKEDÉSAUTOMATIKAI TANSZÉK Programozható logikai vezérlõk Segédlet az Irányítástechnika I. c. tárgyhoz Összeállította: Szabó Géza egyetemi tanársegéd

Részletesebben

IDAXA-PiroSTOP JFE RS485 intelligens repeater 2004/0177/0113 Terméklap

IDAXA-PiroSTOP JFE RS485 intelligens repeater 2004/0177/0113 Terméklap IDAXA-PiroSTOP JFE RS485 intelligens repeater 24/77/3 Terméklap Hexium Kft. JFE Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 6 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 KAPCSOLAT

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

PQRM5100 31 Ux Ix xx xx (PS) Háromfázisú multifunkciós teljesítmény távadó. Kezelési útmutató

PQRM5100 31 Ux Ix xx xx (PS) Háromfázisú multifunkciós teljesítmény távadó. Kezelési útmutató Háromfázisú multifunkciós teljesítmény távadó Kezelési útmutató Tartalomjegyzék 1. Kezelési útmutató...5 1.1. Rendeltetése... 5 1.2. Célcsoport... 5 1.3. Az alkalmazott szimbólumok... 5 2. Biztonsági útmutató...6

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

A táblaszámítógép bemutatása

A táblaszámítógép bemutatása A táblaszámítógép bemutatása Dokumentum cikkszáma: 419466-211 2007. január Ez az útmutató a számítógép hardveres funkcióit ismerteti. Tartalomjegyzék 1 ek A fő kezelőfelület részegységei....................

Részletesebben

Négy Csatornás Digitális Képrögzítő

Négy Csatornás Digitális Képrögzítő Négy Csatornás Digitális Képrögzítő Típus: K-DVR-4DU Telepítési és használati útmutató 1.2-es verzió Mielőtt a készüléket megpróbálná összekötni más eszközökkel vagy megpróbálná működtetni, kérjük figyelmesen

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

SmartLink-G SmartLink-GP GSM hívó. Programozói leírás

SmartLink-G SmartLink-GP GSM hívó. Programozói leírás SmartLink-G SmartLink-GP GSM hívó Programozói leírás Tartalomjegyzék TARTALOMJEGYZÉK... 2 1 BEMUTATÁS... 4 2 ÁLTALÁNOS INFORMÁCIÓK... 4 2.1 MELLÉKELT DOKUMENTÁCIÓK... 4 2.2 LEÍRÁSOK... 4 2.3 ELÉRHETŐSÉGEK...

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Jelformáló áramkörök vizsgálata Billenő áramkörök vizsgálata (Időkeret: 5óra) Név:

Jelformáló áramkörök vizsgálata Billenő áramkörök vizsgálata (Időkeret: 5óra) Név: Jelformáló áramkörök vizsgálata Billenő áramkörök vizsgálata (Időkeret: 5óra) Név: Előzetes kérdések: Írja az áramköri jelhez a dióda és a tranzisztor lábainak elnevezését! Kell ügyelni a nf kapacitású

Részletesebben

Sorompó kezelés mérlegműszerrel

Sorompó kezelés mérlegműszerrel METRISoft Mérleggyártó KFT PortaWin (PW2) Jármű mérlegelő program 6800 Hódmezővásárhely Jókai u. 30 Telefon: (62) 246-657, Fax: (62) 249-765 e-mail: merleg@metrisoft.hu Web: http://www.metrisoft.hu Módosítva:

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

A megfelelő IP védelem biztosításával, alkalmasak a kültéri alkalmazások kialakítására.

A megfelelő IP védelem biztosításával, alkalmasak a kültéri alkalmazások kialakítására. AA-RC1A v2.3 Technikai adatok: Tápfeszültség: 12-24V Digitális / Logikai kimenetek: 8 darab open-collector kimenet, közvetlenül relé meghajtására alkalmasak, 500mA terhelhetőségűek Digitális bemenetek:

Részletesebben

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai Közlekedés gépjárművek elektronikája, diagnosztikája Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai TÁMOP-2.2.3-09/1-2009-0010 A Széchenyi István Térségi Integrált Szakképző

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 3. Xilinx ISE Design Suite integrált fejlesztő rendszer használata.

Részletesebben

4. Példa: Másodfokú egyenlet megoldása (program2_1.vi)

4. Példa: Másodfokú egyenlet megoldása (program2_1.vi) 4. Példa: Másodfokú egyenlet megoldása (program2_1.vi) Mielőtt nekilátnánk a programozásnak, idézzük fel a másodfokú egyenlet általános alakját, és ez alapján gondoljuk végig, hogy milyen elemekre lesz

Részletesebben

STEADYPRES frekvenciaváltó ismertető

STEADYPRES frekvenciaváltó ismertető 1 STEADYPRES frekvenciaváltó ismertető A STEADYPRES egy fordulatszámszabályzó, amelyet egy fázis (230 V AC) táplál, és egy és három fázisú váltakozó áramú motorok meghajtására szolgál. - A motor fordulatszámának

Részletesebben

UNITIS Rt. Windchill PDMLink oktatóanyag PDMLink ügyességek Pro/ENGINEER Wildfire környezetben

UNITIS Rt. Windchill PDMLink oktatóanyag PDMLink ügyességek Pro/ENGINEER Wildfire környezetben UNITIS Rt. Windchill PDMLink oktatóanyag PDMLink ügyességek Pro/ENGINEER Wildfire környezetben I N T E L L I G E N T S O L U T I O N S UNITIS Rendszerház Rt. 2040 Budaörs, Kinizsi u. 2/B Kereskedelmi HOT-LINE:

Részletesebben

Az INTEL D-2920 analóg mikroprocesszor alkalmazása

Az INTEL D-2920 analóg mikroprocesszor alkalmazása Az INTEL D-2920 analóg mikroprocesszor alkalmazása FAZEKAS DÉNES Távközlési Kutató Intézet ÖSSZEFOGLALÁS Az INTEL D 2920-at kifejezetten analóg feladatok megoldására fejlesztették ki. Segítségével olyan

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

Digitális Rendszerek és Számítógép Architektúrák

Digitális Rendszerek és Számítógép Architektúrák PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Digitális Rendszerek és Számítógép Architektúrák 6. előadás: Programozható logikai eszközök: CPLD, FPGA. HLS: magas szintű szintézis

Részletesebben

ICR-E42-83-163 DVR ICR-E83H; ICR-E163H ICR-H41; ICR-H81 Felhasználóí kézikönyv ver 2.1

ICR-E42-83-163 DVR ICR-E83H; ICR-E163H ICR-H41; ICR-H81 Felhasználóí kézikönyv ver 2.1 ICR-E42-83-163 DVR ICR-E83H; ICR-E163H ICR-H41; ICR-H81 Felhasználóí kézikönyv ver 2.1 1 Tartalomjegyzék 1 Termék bemutatása... 3 1.1 Termék áttekintése... 3 1.2 Fő funkciók... 3 2 Az Előlap és Hátlap

Részletesebben

Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT. Nagyteljesítményű mikrovezérlők tantárgy [vimim342]

Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT. Nagyteljesítményű mikrovezérlők tantárgy [vimim342] Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT Nagyteljesítményű mikrovezérlők tantárgy [vimim342] 8x8x8 LED Cube Készítette: Szikra István URLJRN Tartalomjegyzék

Részletesebben

Digitálistechnika II. 1. rész

Digitálistechnika II. 1. rész Digitálistechnika II. 1. rész Oktatási cél: A tárgy keretében a Digitális technika I. tárgyban szerzett elméleti ismeretek elmélyítésére kerül sor. A hallgatók gyakorlati feladat-megoldások segítségével

Részletesebben

Boundary Scan. Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága

Boundary Scan. Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága Boundary Scan Elméleti alapok Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága A peremfigyelés alapelve, alapfogalmai Néhány alapvetõ részlet bemutatása A peremfigyeléses áramkörök vezérlése

Részletesebben

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise.

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise. . KOMBINÁCIÓS HÁLÓZATOK A tananyag célja: kombinációs típusú hálózatok analízise és szintézise. Elméleti ismeretanyag: Dr. Ajtonyi István: Digitális rendszerek I. 2., 5., 5.2. fejezetek Elméleti áttekintés..

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

ParkIT ANPR Kamera LetUgo Beléptető Rendszerrel

ParkIT ANPR Kamera LetUgo Beléptető Rendszerrel 1 ParkIT ANPR Kamera LetUgo Beléptető Rendszerrel Üzembe helyezési útmutató! HASZNÁLAT ELŐTT FIGYELMESEN OLVASSA EL! Kapcsolat Ha bármilyen problémája adódna a ParkIT kamera üzemeltetése közben, bizalommal

Részletesebben

GSM Gate Control Pro 20 GSM Gate Control Pro 1000

GSM Gate Control Pro 20 GSM Gate Control Pro 1000 GSM Gate Control Pro 20 GSM Gate Control Pro 1000 TELEPÍTÉSI ÉS ALKALMAZÁSI ÚTMUTATÓ v1.21.2387 és újabb modulverziókhoz Dokumentumverzió: 1.61 2015.10.19 Jellemzők: Kimenetek vezérlése interneten keresztül,

Részletesebben

Quantometer 2.0 Fogyasztásmérő program és illesztőkészülék

Quantometer 2.0 Fogyasztásmérő program és illesztőkészülék Quantometer 2.0 Fogyasztásmérő program és illesztőkészülék Felhasználói kézikönyv #3 Verzió SCSSoft Kft. 2002. Tartalomjegyzék: Az illesztőegység... 3 Illesztőegység csatlakozói, kezelőszervei, jelzései...

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

PC160 Vezérlés 24VDC SZÁRNYASKAPU MOTORHOZ TELEPÍTŐI KÉZIKÖNYV

PC160 Vezérlés 24VDC SZÁRNYASKAPU MOTORHOZ TELEPÍTŐI KÉZIKÖNYV PC10 Vezérlés 24VDC SZÁRNYASKAPU MOTORHOZ TELEPÍTŐI KÉZIKÖNYV Tartalomjegyzék 1. PC10 Vezérlés 2. Beállítás 2.1 SW1 Dip kapcsoló beállítása 2.2 Funkció kapcsolók és LED jelzések magyarázata 2.3 Távirányító

Részletesebben

A TANTÁRGY ADATLAPJA

A TANTÁRGY ADATLAPJA A TANTÁRGY ADATLAPJA 1. A képzési program adatai 1.1 Felsőoktatási intézmény Babes-Bolyai Tudományegyetem 1.2 Kar Matematika és Informatika Kar 1.3 Intézet Magyar Matematika és Informatika Intézet 1.4

Részletesebben

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK Misák Sándor PROGRAMOZHATÓ LOGIKAI VEZÉRLİK 1. elıadás DE TTK v.0.2 (2012.09.26.) 1. Bolton W. Programmable logic controllers. New Delhi: Newnes (Elsevier), 2008. 2. Hackworth J.R., Hackworth F.D, Jr.

Részletesebben

GPT 9800 sorozatú nagyfeszültségű szigetelésvizsgálók

GPT 9800 sorozatú nagyfeszültségű szigetelésvizsgálók GPT 9800 sorozatú nagyfeszültségű szigetelésvizsgálók Főbb jellemzők 200 VA AC vizsgáló teljesítmény 240X64 mm-es jég kék pont mátrix LCD Kézi/Auto üzemmód Funkció gombok a gyors választáshoz Nagy intenzitású

Részletesebben

Elektronikus dobókocka

Elektronikus dobókocka Elektronikus dobókocka I. Feladat: egy olyan készülék elkészítése, amely a különféle játékokban használatos dobókockát helyettesíti. II. Gyakorlati megvalósítása: Az elektronikus dobókocka szerkezetileg

Részletesebben

OKI B430 telepítési útmutató

OKI B430 telepítési útmutató OKI B430 telepítési útmutató Fontos! Amíg a telepítő nem kéri, ne kapcsolja be a nyomtatót! USB port esetén! Helyezze be a telepítő CD-t, melyet a nyomtató mellé kapott, ekkor elindul a nyomtató telepítője.

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA 4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA A címben található jelölések a mikrovezérlők kimentén megjelenő tipikus perifériák, típus jelzései. Mindegyikkel röviden foglalkozni fogunk a folytatásban.

Részletesebben

SZÁMÍTÓGÉPARCHITEKTÚRÁK

SZÁMÍTÓGÉPARCHITEKTÚRÁK ESSZÉ LÁNG LÁSZLÓ Zilog mokroprocesszor családok Z800 2005. December 1. Előszó A Zilog cég betörése a piacra rendkívül eredményesnek mondható volt, sőt később sikerült a csúcsra fejleszteniük a technológiájukat.

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel Rendszerspecifikáció BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

PARAMÉTERES GÖRBÉK ALKALMAZÁSA VALÓSIDE- JŰ DIGITÁLIS HANGFELDOLGOZÁS SORÁN

PARAMÉTERES GÖRBÉK ALKALMAZÁSA VALÓSIDE- JŰ DIGITÁLIS HANGFELDOLGOZÁS SORÁN Multidiszciplináris tudományok, 3. kötet. (2013) sz. pp. 251-258. PARAMÉTERES GÖRBÉK ALKALMAZÁSA VALÓSIDE- JŰ DIGITÁLIS HANGFELDOLGOZÁS SORÁN Lajos Sándor Mérnöktanár, Miskolci Egyetem,Ábrázoló geometriai

Részletesebben

Magyar nyelvű szakelőadások a 2000-2001-es tanévben

Magyar nyelvű szakelőadások a 2000-2001-es tanévben Erdélyi Magyar Műszaki Tudományos Társaság Magyar nyelvű szakelőadások a 2000-2001-es tanévben Kolozsvári Műszaki Egyetem Számítástechnika Kar Szerzők dr. Baruch Zoltán Bíró Botond dr. Buzás Gábor dr.

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

ADVR-0410H1/0410H1W 4 csatornás ADVR-0820H1W 8 csatornás ADVR-1640H1 16 csatornás. Digitális Video Rögzítő. Üzembe helyezési útmutató

ADVR-0410H1/0410H1W 4 csatornás ADVR-0820H1W 8 csatornás ADVR-1640H1 16 csatornás. Digitális Video Rögzítő. Üzembe helyezési útmutató ADVR-0410H1/0410H1W 4 csatornás ADVR-0820H1W 8 csatornás ADVR-1640H1 16 csatornás Digitális Video Rögzítő Üzembe helyezési útmutató Figyelmeztetés Olvassa végig a kézikönyvet a készülék használata előtt.

Részletesebben

Kezelési leírás a FEC01/06 típusú programozható és távműködtethető lángeffekt vezérlő készülékhez

Kezelési leírás a FEC01/06 típusú programozható és távműködtethető lángeffekt vezérlő készülékhez Kezelési leírás a FEC01/06 típusú programozható és távműködtethető lángeffekt vezérlő készülékhez Budapest, 2006. november LFO Bt. Tartalomjegyzék 1. A lángeffekt vezérlő készülék általános tulajdonságai,

Részletesebben