3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA"

Átírás

1 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez is felhasználhatók. 1

2 A Digilent cég által gyártott BASYS2 elnevezésű fejlesztői lap fényképét az alábbi ábrán láthatjuk. 2

3 A lap tömbvázlatát az alábbi ábrán láthatjuk. 3

4 3.1. AZ ALKALMAZOTT FPGA PARAMÉTEREI A BASYS2 lapra épített FPGA eszköz Xilinx gyártmányú, típusjelzése Spartan3E-100 CP132. Az eszköz logikai kaput tartalmaz, a CLB-k száma 240, 72 kbit blokk RAM-ot tartalmaz, a hardveres szorzók száma 4. Ezek a paraméterek ma alsó kategóriának felelnek meg. A tokozás BGA típusú, összesen 132 kivezetés van, ebből 108 használható bemenetként illetve kimenetként. 4

5 A lábkiosztást az alábbi ábrán láthatjuk. 5

6 3.2. A TÁPLÁLÁS A BASYS2 lap fejlesztés közben az USB kábelen keresztül kap táplálást. Kiképeztek egy külön csatlakozót is a fejlesztői rendszertől független táplálásra (J5), ide 3,5...4,5 V feszültséget kell hozni. A kívülről érkező táplálást egy LTC3545 típusú integrált szabályzó fogadja, ez alakítja ki az FPGA és a külső alkatrészek táplálásához szükséges 3,3 V, 2,5 V és 1,2 V tápfeszültségeket. 6

7 3.3. AZ USB2 PORT Az FPGA eszközök programozása peremfigyeléses (boundary scan) módszerrel történik. Alapesetben ez JTAG konnektort feltételez a fejlesztőlapon. A BASYS2 lapnál a fejlesztő számítógéppel a kapcsolattartás USB protokoll szerint történik. Az USB kommunikációt egy Atmel gyártmányú mikrovezérlő (AT90USB2) végzi, ez hozza létre a peremfigyeléses programozáshoz szükséges jeleket is. 7

8 3.4. A FLASH MEMÓRIA A fejlesztés során a konfigurációs bit fájlt közvetlenül az FPGA eszközbe kell betölteni, a betöltés után az eszköz programozott állapotban van, készen áll a feladatok elvégzésére. A tápfeszültség esetleges megszűnésekor az FPGA-ba betöltött program törlődik. 8

9 Az FPGA normális használatához (fejlesztés után) a konfigurációs fájlt egy olyan memória áramkörbe kell tárolni, amely nem veszti el a tartalmat tápfeszültség hiányában (nonvolatile memory), innen kell minden induláskor az FPGAba tölteni a programot. A BASYS2 lapon egy flash EPROM (XCF02 platform flash) látja el ezt a feladatot. 9

10 A konfigurálásban résztvevő elemek kapcsolatát az ábra szemlélteti. 10

11 3.5. A KÜLSŐ ÓRAJEL FORRÁS Az FPGA eszközök egy vagy több órajelet kívánnak a belső működés szinkronizálására, de rendszerint nem tartalmaznak belső órajel forrást. A BASYS2 lapon az alap órajelet egy külső, integrált áramkör (LTC6905 típus) formájában megépített oszcillátor biztosítja. Egy tüskesor érintkezőinek rövidre zárásával az órajel frekvenciáját 25 MHz-re, 50 MHz-re vagy 100 MHz-re állíthatjuk. 11

12 Az alap órajel forrás frekvenciája nem túl stabil, ezért az ábrán IC6-tal jelölt helyre szerelhető egy kristály oszcillátor. 12

13 3.6. AZ I/O VONALAK A BASYS2 fejlesztőlapon számos digitális bemeneti és kimeneti vonalat hoztak létre a szerteágazó alkalmazások érdekében. A bemenetek egy része nyomógombokhoz csatlakozik (BTN0...BTN3), másik részük váltókapcsolókhoz (SW0...SW7). 13

14 A kimenetek egyik csoportja nyolc LED-et vezérel, a másik csoport egy négy számjegyből álló hétszegmenses kijelzőt. A kijelző vezérlése idő multiplexben történik: úgy kell konfigurálni az FPGA-t, hogy periódikusan kapcsolja az egyes számjegyeket. 14

15 A 15

16 3.7. PS2 PORT A hat kivezetéses mini DIN konnektor egér vagy billentyűzet csatlakoztatását teszi lehetővé. Ezek az eszközök két vezetéken (órajel és adat) keresztül kommunikálnak az FPGA-val (ábra). A port kezeléséhez az FPGA-ban megfelelő kommunikációs modult kell létrehozni. 16

17 A 17

18 3.8. VGA PORT A tizenöt kivezetéses video konnektoron (ábra) keresztül az FPGA monitort tud vezérelni. Az RGB színjelek mellett generálni kell a sor- és kép szinkronizációt végző jeleket. A vörös jelet három digitális kimenettel nyolc analóg értékre tudjuk állítani, hasonlóan a zöld jelet, a kék jelnél két kimenet mindössze négy analóg értéket hoz létre, tekintettel arra, hogy az emberi szem kevésbé érzékeny a kék színre. 18

19 A 19

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

Új kompakt X20 vezérlő integrált I/O pontokkal

Új kompakt X20 vezérlő integrált I/O pontokkal Új kompakt X20 vezérlő integrált I/O pontokkal Integrált flash 4GB belső 16 kb nem felejtő RAM B&R tovább bővíti a nagy sikerű X20 vezérlő családot, egy kompakt vezérlővel, mely integrált be és kimeneti

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

A LOGSYS rendszer ismertetése

A LOGSYS rendszer ismertetése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS rendszer ismertetése Raikovich Tamás BME MIT atórium A LOGSYS

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

Ismerkedés az MSP430 mikrovezérlőkkel

Ismerkedés az MSP430 mikrovezérlőkkel Ismerkedés az MSP430 mikrovezérlőkkel 1 Mikrovezérlők fogalma Mikroprocesszor: Egy tokba integrált számítógép központi egység (CPU). A működés érdekében körbe kell építeni külső elemekkel (memória, perifériák,

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN 14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN A digitális berendezések kijelzőjének kezelésénél gyakori feladat a kijelzett tartalom mozgatása valamilyen szabály szerint. Ebben a példában

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A az energiaellátás minőségi jellemzőinek mérésére szolgáló szabadon programozható készülék. Épületfelügyeleti rendszerben (BMS), valamint önállóan

Részletesebben

PIC16F877 KÍSÉRLETI PANEL

PIC16F877 KÍSÉRLETI PANEL PIC16F877 KÍSÉRLEI PANEL 1. A PIC16F877 kísérlet panel rendeltetése PIC16F877 KÍSÉRLETI PANEL Szegő János Újpesti Kéttannyelvű Műszaki Szakközépiskola és Gimnázium ChipCAD kft, Budapest A panel PIC16F87x

Részletesebben

Bepillantás a gépházba

Bepillantás a gépházba Bepillantás a gépházba Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív memória: A számítógép bekapcsolt

Részletesebben

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás:

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás: DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A SYS00-A a Dialog-III készülékcsalád analóg jelek kezelésére alkalmas tagja, amely kifejezetten épületgépészeti szabályozási és vezérlési feladatok

Részletesebben

A LEGO Mindstorms EV3 programozása

A LEGO Mindstorms EV3 programozása A LEGO Mindstorms EV3 programozása 1. A fejlesztői környezet bemutatása 12. Az MPU6050 gyorsulás- és szögsebességmérő szenzor Orosz Péter 1 Felhasznált irodalom LEGO MINDSTORMS EV3: Felhasználói útmutató

Részletesebben

USB I/O kártya. 12 relés kimeneti csatornával, 8 digitális bemenettel (TTL) és 8 választható bemenettel, mely analóg illetve TTL módban használható.

USB I/O kártya. 12 relés kimeneti csatornával, 8 digitális bemenettel (TTL) és 8 választható bemenettel, mely analóg illetve TTL módban használható. USB I/O kártya 12 relés kimeneti csatornával, 8 digitális bemenettel (TTL) és 8 választható bemenettel, mely analóg illetve TTL módban használható. Műszaki adatok: - Tápfeszültség: 12V DC - Áramfelvétel:

Részletesebben

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében SR mini PLC Modbus illesztő modul Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében Kimeneti pontok címkiosztása főmodul esetében, olvasásra

Részletesebben

micron s e c u r i t y p r o d u c t s EzeProx proximity kártyaolvasó és kódbillentyűzet

micron s e c u r i t y p r o d u c t s EzeProx proximity kártyaolvasó és kódbillentyűzet micron s e c u r i t y p r o d u c t s EzeProx proximity kártyaolvasó és kódbillentyűzet Jellemzők - 500 kártya vagy kulcstartós kártya tanítható meg akár vegyesen is - 30 programozható, maximum 6 számjegyű

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS

KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS ZEN-C4 nagyobb rugalmasság RS-485 kommunikációval Kínálatunk kommunikációs típussal bővült. Így már lehetősége van több ZEN egység hálózati környezetbe csatlakoztatására.

Részletesebben

Kaméleon K860. IAS Automatika Kft www.iasautomatika.hu

Kaméleon K860. IAS Automatika Kft www.iasautomatika.hu Kaméleon K860 Univerzális Digitális Szabályozó A K860 szabályozók általános automatizálási feladatokra kifejlesztett digitális szabályozók. Épületgépészeti alkalmazásokra kiválóan alkalmasak, gazdaságos

Részletesebben

Ipari vezérlés és automatizálás

Ipari vezérlés és automatizálás Twido programozható vezérlő Kompakt felépítésű vezérlők TWD 10DRF/16DRF Be- és kimenetek Nyelő- vagy forrás bemenetek Kompakt vezérlők, a táplálással 10 db I/O 6 c 24 V-os bemenet 4 db relékimenet 16 db

Részletesebben

Programozó- készülék Kezelőkozol RT óra (pl. PC) Digitális bemenetek ROM memória Digitális kimenetek RAM memória Analóg bemenet Analóg kimenet

Programozó- készülék Kezelőkozol RT óra (pl. PC) Digitális bemenetek ROM memória Digitális kimenetek RAM memória Analóg bemenet Analóg kimenet 2. ZH A csoport 1. Hogyan adható meg egy digitális műszer pontossága? (3p) Digitális műszereknél a pontosságot két adattal lehet megadni: Az osztályjel ±%-os értékével, és a ± digit értékkel (jellemző

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

IDAXA-PiroSTOP. BI12KR8 Optocsatolt bemeneti egység 12 bemenettel és Relés kimeneti egység 8 relével. Terméklap 2004/0177/073

IDAXA-PiroSTOP. BI12KR8 Optocsatolt bemeneti egység 12 bemenettel és Relés kimeneti egység 8 relével. Terméklap 2004/0177/073 IDAXA-PiroSTOP BI2KR8 bemeneti egység 2 bemenettel és Relés kimeneti egység 8 relével 24/77/73 Terméklap Hexium Kft. BI2KR8 Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5

Részletesebben

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. október 17. Laboratóriumi berendezések

Részletesebben

Leírás. Készítette: EMKE Kft. 2009. február 11.

Leírás. Készítette: EMKE Kft. 2009. február 11. Leírás Alkalmas: Jármővek mozgásának valós idejő nyomkövetését biztosító kommunikációra. A mozgás koordinátáinak eltárolására, utólagos visszaellenırzésére (pl. sebesség túllépés, vagy bejárt útvonal).

Részletesebben

KINCO árlista. Viszonteladói árlista. K2 PLC család K5 PLC család MT,GL univerzális kijelzők CV frekvenciaváltók PS tápegységek

KINCO árlista. Viszonteladói árlista. K2 PLC család K5 PLC család MT,GL univerzális kijelzők CV frekvenciaváltók PS tápegységek K2 PLC család K5 PLC család MT,GL univerzális kijelzők CV frekvenciaváltók PS tápegységek Viszonteladói árlista Érvényes: 2018. novembertől KINCO árlista +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Nyomtatóport szintillesztő

Nyomtatóport szintillesztő Nyomtatóport szintillesztő Az alábbi nyomtatóport kártya lehetővé teszi a nyomtató porthoz való kényelmes, egyszerű hozzáférést, a jelszintek illesztett megvalósítása mellett. A ki- és bemenetek egyaránt

Részletesebben

Kommunikáció az EuroProt-IED multifunkcionális készülékekkel

Kommunikáció az EuroProt-IED multifunkcionális készülékekkel Kommunikáció az EuroProt-IED multifunkcionális készülékekkel A Protecta intelligens EuroProt készülékei a védelem-technika és a mikroprocesszoros technológia fejlődésével párhuzamosan követik a kommunikációs

Részletesebben

RÁDIÓS ADATGYŰJTŐ BERENDEZÉS RD01. Használati útmutató

RÁDIÓS ADATGYŰJTŐ BERENDEZÉS RD01. Használati útmutató RÁDIÓS ADATGYŰJTŐ BERENDEZÉS RD01 Használati útmutató Ez a dokumentum a Ring Games Kft. által gyártott GSM Adatgyűjtő Rendszer RD01 típusú eszközének Használati útmutatója. 2004, Ring Games Kft. Ring Games

Részletesebben

AVR-Stamp1.0F_USB Leírás, használati útmutató. Rev.B

AVR-Stamp1.0F_USB Leírás, használati útmutató. Rev.B AVR-Stamp1.0F_USB Leírás, használati útmutató. Rev.B A Stamp1.0F_USB egy olyan panel, ami kettős célt szolgál. Egyrészről, kialakításából adódóan alkalmas tanuló, fejlesztő eszköznek, másrészről kész berendezésbe

Részletesebben

SB-Controls Kft Sóskút, Ipari Park 3508/64 Hrsz. T.: F.: E-Line CPU PCD1.

SB-Controls Kft Sóskút, Ipari Park 3508/64 Hrsz. T.: F.: E-Line CPU PCD1. E-Line CPU PCD1.M2220-C15 A Saia-Burgess Controls AG. E-Line termékcsaládja célzottan épületautomatikai feladatok megvalósítására született. A központi egységként szolgáló CPU szabadon programozható vezérlőegység,

Részletesebben

Nyomtatóport szintillesztő 4V2

Nyomtatóport szintillesztő 4V2 Nyomtatóport szintillesztő 4V2 A 4V2-es verziójú illesztő kártya tökéletes választás, ha sok bemenetre van szükségünk. Akár PC-hez, akár UC300-hoz is csatlakoztathatjuk, a földfüggetlen bemenetek pedig

Részletesebben

Serial 2: 1200/2400 bps sebességû rádiós modem vagy

Serial 2: 1200/2400 bps sebességû rádiós modem vagy - ATMEL ATmega Processzor - kb Flash memória a program részére - kb belsõ és Kb külsõ EEPROM - kb belsõ és kb külsõ RAM - db többfunkciós soros interfész (kiépitéstõl függõen) Serial : RS- vagy RS-5 (fél-

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

KINCO PLC és HMI, frekvenciaváltó árlista

KINCO PLC és HMI, frekvenciaváltó árlista K2 PLC család K5 PLC család MT univerzális kijelző CV frekvenciaváltó Viszonteladói árlista Érvényes: 2016. májustól KINCO PLC és HMI, frekvenciaváltó árlista : +36 1 236 0427 +36 1 236 0428 Fax: +36 1

Részletesebben

LOGSYS LOGSYS KINTEX-7 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ április 3. Verzió

LOGSYS LOGSYS KINTEX-7 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ április 3. Verzió LOGSYS KINTEX-7 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2018. április 3. Verzió 1.1 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Megjelenítő eszközök... 4 2.1 LED-ek... 4 2.2 Hétszegmenses kijelző...

Részletesebben

IDAXA-PiroSTOP JFE RS485 intelligens repeater 2004/0177/0113 Terméklap

IDAXA-PiroSTOP JFE RS485 intelligens repeater 2004/0177/0113 Terméklap IDAXA-PiroSTOP JFE RS485 intelligens repeater 24/77/3 Terméklap Hexium Kft. JFE Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 6 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 KAPCSOLAT

Részletesebben

RUBICON Serial IO kártya

RUBICON Serial IO kártya RUBICON Serial IO kártya Műszaki leírás 1.0 Készítette: Forrai Attila Jóváhagyta: Rubin Informatikai Zrt. 1149 Budapest, Egressy út 17-21. telefon: +361 469 4020; fax: +361 469 4029 e-mail: info@rubin.hu;

Részletesebben

MASCO Biztonságtechnikai és Nyílászáró Automatizálási Kereskedelmi Kft Budapest, Madridi út 2. Tel: (06 1) , Fax: (06 1) ,

MASCO Biztonságtechnikai és Nyílászáró Automatizálási Kereskedelmi Kft Budapest, Madridi út 2. Tel: (06 1) , Fax: (06 1) , 2 Tartalomjegyzék Tartalomjegyzék... 2 Termék Tartalma... 3 Modul Eleje... 4 Modul Hátulja... 5 Csatlakozók Külső Interfészekhez 1... 6 Csatlakozók Külső Interfészekhez 2... 7 Telepítési Példa... 8 Termék

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

5.4. Perifériák helyettesítése párhuzamos feldolgozással a Propeller esetében

5.4. Perifériák helyettesítése párhuzamos feldolgozással a Propeller esetében 5.4. Perifériák helyettesítése párhuzamos feldolgozással a Propeller esetében A nem standard szerkezetű mikorvezérlő, nem megszokott megoldások megvalósítására is alkalmazható, ami sok esetben, nagymértékben

Részletesebben

PLC-K ÁLTALÁNOS FELÉPÍTÉSE

PLC-K ÁLTALÁNOS FELÉPÍTÉSE PLC-K ÁLTALÁNOS FELÉPÍTÉSE Második generációs PLC felépítése PLC-k programbeviteli lehetőségei A PLC-k programozása történhet: konzollal célszámítógéppel általános célú PC-vel A célszámítógépek ma már

Részletesebben

KINCO PLC és HMI, frekvenciaváltó árlista

KINCO PLC és HMI, frekvenciaváltó árlista K2 PLC család K5 PLC család MT univerzális kijelző CV frekvenciaváltó Viszonteladói árlista Érvényes: 2016. májustól KINCO PLC és HMI, frekvenciaváltó árlista : +36 1 236 0427 +36 1 236 0428 Fax: +36 1

Részletesebben

KeyLock-2V Digitális kódzár 2 kimenettel

KeyLock-2V Digitális kódzár 2 kimenettel KeyLock-2V Digitális kódzár 2 kimenettel HU Felhasználói és programozói leírás A kézikönyv tartalmára és a benne leírt berendezésre vonatkozóan a fejlesztő és gyártó fenntartja a változtatás jogát. A gyártó

Részletesebben

1. Digitális írástudás: a kőtáblától a számítógépig 2. Szedjük szét a számítógépet 1. örök 3. Szedjük szét a számítógépet 2.

1. Digitális írástudás: a kőtáblától a számítógépig 2. Szedjük szét a számítógépet 1. örök 3. Szedjük szét a számítógépet 2. Témakörök 1. Digitális írástudás: a kőtáblától a számítógépig ( a kommunikáció fejlődése napjainkig) 2. Szedjük szét a számítógépet 1. ( a hardver architektúra elemei) 3. Szedjük szét a számítógépet 2.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

TxRail-USB Hőmérséklet távadó

TxRail-USB Hőmérséklet távadó TxRail-USB Hőmérséklet távadó Bevezetés TxRail-USB egy USB-n keresztül konfigurálható DIN sínre szerelhető hőmérséklet jeladó. Lehetővé teszi a bemenetek típusának kiválasztását és konfigurálását, méréstartomány

Részletesebben

DIALOG II PLM-B-000-LCD Hálózati paraméter felügyeleti modul Speciális készülékek

DIALOG II PLM-B-000-LCD Hálózati paraméter felügyeleti modul Speciális készülékek Speciális készülékek KIVITEL ALKALMAZÁS MŰKÖDÉS A DIALOG II PLM digitális szabadon programozható hálózati paraméter felügyeleti modul, három-, vagy egyfázisú hálózatok egyes, energetikai, illetve üzemviteli

Részletesebben

TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó

TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó Bevezetés A TxBlock-USB érzékelőfejbe építhető, kétvezetékes hőmérséklet távadó, 4-20mA kimenettel. Konfigurálása egyszerűen végezhető el, speciális

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

IDAXA-PiroSTOP HI1 Hurokkezelő 1 intelligens hurokhoz 2004/0177/063 Terméklap

IDAXA-PiroSTOP HI1 Hurokkezelő 1 intelligens hurokhoz 2004/0177/063 Terméklap IDAXA-PiroSTOP HI Hurokkezelő intelligens hurokhoz 24/77/63 Terméklap Hexium Kft. HI Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VEZ EGYSÉG FELÉ... 5 2.3

Részletesebben

Roger UT-2. Kommunikációs interfész V3.0

Roger UT-2. Kommunikációs interfész V3.0 ROGER UT-2 1 Roger UT-2 Kommunikációs interfész V3.0 TELEPÍTŐI KÉZIKÖNYV ROGER UT-2 2 ÁLTALÁNOS LEÍRÁS Az UT-2 elektromos átalakítóként funkcionál az RS232 és az RS485 kommunikációs interfész-ek között.

Részletesebben

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT E3NT Tárgyreflexiós érzékelõ háttér- és elõtér elnyomással 3 m-es érzékelési távolság (tárgyreflexiós) 16 m-es érzékelési távolság (prizmás) Analóg kimenetes típusok Homloklapfûtéssel ellátott kivitelek

Részletesebben

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! 1 Óbudai Egyetem 2 TARTALOMJEGYZÉK I. Bevezetés 3 I-A. Beüzemelés.................................. 4 I-B. Változtatható ellenállások...........................

Részletesebben

A tantárgyon az előadó és a tanársegéd: Mgr. Divéki Szabolcs

A tantárgyon az előadó és a tanársegéd: Mgr. Divéki Szabolcs Elektronikai készülékek tervezése A tantárgyon az előadó és a tanársegéd: Mgr. Divéki Szabolcs 1 1. Előadás az Elektronikai készülékek tervezéséből 1. Rövid tantárgyi ismertető 2 1.1 Rövid tantárgyi ismertető

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

2 VEZETÉKES KAPUTELEFON RENDSZER Kültéri egység

2 VEZETÉKES KAPUTELEFON RENDSZER Kültéri egység 2 VEZETÉKES KAPUTELEFON RENDSZER Kültéri egység VDT-601/ID VDT-601F/ID VDT-601(F)/ID Leírás v2.2 Tartalomjegyzék 1. Felépítés és funkciók...3 1.1. Csatlakozók...3 2. Felszerelés...4 2.1. Névtábla elhelyezése...5

Részletesebben

LOGSYS LOGSYS SPARTAN-6 FPGA KÁRTYA (V2.1) FELHASZNÁLÓI ÚTMUTATÓ. 2013. október 2. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-6 FPGA KÁRTYA (V2.1) FELHASZNÁLÓI ÚTMUTATÓ. 2013. október 2. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS SPARTAN-6 FPGA KÁRTYA (V2.1) FELHASZNÁLÓI ÚTMUTATÓ 2013. október 2. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 3 LED-ek, hétszegmenses kijelzők, kapcsolók...

Részletesebben

Tartalomjegyzék. 2 Telepítés A rendszer standard telepítése Eszköz leírása Eszköz mérete 4

Tartalomjegyzék. 2 Telepítés A rendszer standard telepítése Eszköz leírása Eszköz mérete 4 PL500 Tartalomjegyzék 1 Figyelmeztetések 2 2 Telepítés 3 2.1 A rendszer standard telepítése 3 2.2 Eszköz leírása 3 2.3 Eszköz mérete 4 2.4 Hajtómotor és fogasléc telepítése 4 2.5 Telepítés ellenőrzése

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

KeyLock-23 Digitális kódzár 2 kimenettel, 3 bemenettel

KeyLock-23 Digitális kódzár 2 kimenettel, 3 bemenettel KeyLock-23 Digitális kódzár 2 kimenettel, 3 bemenettel HU Felhasználói és programozói leírás A kézikönyv tartalmára és a benne leírt berendezésre vonatkozóan a fejlesztő és gyártó fenntartja a változtatás

Részletesebben

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191 SIOUX-RELÉ Sioux relé modul telepítési leírás Szerkesztés 1.2 20MACIE0191 1 Leírás 1.1 Leírás A Sioux-relé egy soros modul, amely tartalmaz egy master kártyát, amely maximum két slave kártyával bővíthető.

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

6.2. TMS320C64x és TMS320C67xx DSP használata

6.2. TMS320C64x és TMS320C67xx DSP használata 6.2. TMS320C64x és TMS320C67xx DSP használata 6.2.1. bemutatása TI Davinci DM6446 EVM rövid A Davinci DM6446 EVM az alábbi fő hardver paraméterekkel rendelkezik: 1db ARM 9 CPU (ARM926EJ) 1db C64x DSP 4MB

Részletesebben

Használati útmutató M-SHEV hő és füstelvezető központhoz

Használati útmutató M-SHEV hő és füstelvezető központhoz Használati útmutató M-SHEV hő és füstelvezető központhoz felépítése A hő és füstelvezető központ alap alkotóeleme az energia ellátás, ami magába foglalja a hálózati tápegységet, a töltőelektronikát és

Részletesebben

MŰSZAKI LEÍRÁS Az I. részhez

MŰSZAKI LEÍRÁS Az I. részhez MŰSZAKI LEÍRÁS Az I. részhez Megnevezés: Automatizálási rendszerek bővítése korszerű gyártásautomatizálási, ipari kommunkiációs és biztonsági modulokkal. Mennyiség: 1 db rendszer, amely az alábbi eszközökből

Részletesebben

Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel

Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel 1 Ajánlott irodalom Aduino LLC.: Arduino Language Reference ATMEL: ATmega328p mikrovezérlő adatlapja Brian W. Kernighan,

Részletesebben

Billentyűzet. Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó),

Billentyűzet. Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó), Billentyűzet Általános billentyűzet Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó), 6 pólusú mini-din (PS/2 billentyűzet csatlakozó).

Részletesebben

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Misák Sándor ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.02.13.) 1. előadás 1. Általános ismeretek. 2. Sajátos tulajdonságok. 3. A processzor jellemzői.

Részletesebben

KINCO PLC és HMI, frekvenciaváltó árlista

KINCO PLC és HMI, frekvenciaváltó árlista K2 PLC család K5 PLC család MT univerzális kijelző CV frekvenciaváltó Viszonteladói árlista Érvényes: 2016. májustól KINCO PLC és HMI, frekvenciaváltó árlista : +36 1 236 0427 +36 1 236 0428 Fax: +36 1

Részletesebben

LOGSYS FPGA FEJLESZTŐI KÖRNYEZET FEHÉR BÉLA RAIKOVICH TAMÁS LACZKÓ PÉTER

LOGSYS FPGA FEJLESZTŐI KÖRNYEZET FEHÉR BÉLA RAIKOVICH TAMÁS LACZKÓ PÉTER BME VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK FEHÉR BÉLA RAIKOVICH TAMÁS LACZKÓ PÉTER LOGSYS FPGA FEJLESZTŐI KÖRNYEZET Dr. Fehér Béla, Raikovich Tamás, Laczkó

Részletesebben

MEM 5. A DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A FÉLVEZETŐ ALAPÚ MEMÓRIÁK

MEM 5. A DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A FÉLVEZETŐ ALAPÚ MEMÓRIÁK 5. A DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A digitális berendezések a feladatuk ellátása közben rendszerint nagy mennyiségű adatot dolgoznak fel. Feldolgozás előtt és után rendszerint tárolni kell az adatokat.

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Alapvető információk a vezetékezéssel kapcsolatban

Alapvető információk a vezetékezéssel kapcsolatban Alapvető információk a vezetékezéssel kapcsolatban Néhány tipp és tanács a gyors és problémamentes bekötés érdekében: Eszközeink 24 V DC tápellátást igényelnek. A Loxone link maximum 500 m hosszan vezethető

Részletesebben

Nyomtatóport szintillesztő 3V3

Nyomtatóport szintillesztő 3V3 Nyomtatóport szintillesztő 3V3 A 3V3-as verziójú illesztő kártya lehetővé teszi a nyomtató porthoz vagy az UC300-hoz való kényelmes, egyszerű hozzáférést, a jelszintek illesztett megvalósítása mellett.

Részletesebben

TM-76875 Hanglejátszó

TM-76875 Hanglejátszó TM-76875 Hanglejátszó Használati útmutató 2011 BioDigit Ltd. Minden jog fenntartva. A dokumentum sokszorosítása, tartalmának közzététele bármilyen formában, beleértve az elektronikai és mechanikai kivitelezést

Részletesebben

Channel Expander 1.xx Használati útmutató

Channel Expander 1.xx Használati útmutató Channel Expander 1.xx 1. oldal 2. oldal Tartalomjegyzék Tartalomjegyzék...3 Áttekintés...4 A készülék rögzitése...5 Tápfeszültség csatlakoztatása...8 Öntözés vezérlő csatlakoztatása...8 Szelep kimenetek

Részletesebben