Előadó: Nagy István (A65)

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Előadó: Nagy István (A65)"

Átírás

1 Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest, 1987 Gál T.: Programozható logikák BME - tankönyvkiadó, P. Ammon: Kapumátrix-áramkörök, Műszaki könyvkiadó, 1989.

2 Bevezetés: Az FPGA (Field Programmable Gate Array) programozható kapu tömbök, abban térnek el a korábbi eszközöktől, hogy ezeknél nem valamilyen programozható ÉS/VAGY mátrixot kell konfigurálnunk, hanem itt az egyes logikai cellák közötti összekötések konfigurálhatóak (programozhatóak egyébként ezt routing-nak nevezik.). Ezzel, sokkal nagyobb szabadságfokot érünk el az eszközök programozhatósága terén. Felépítésük leginkább a nyomtatott áramköri (NYÁK) technikákhoz hasonlít, amikor az egyes IC ket a NYÁK on összehuzalozzuk. Az FPGA k jellemzői: Kétdimenziós, mátrix jellegű, programozható logikai blokkok tömbjéből épülnek fel Programozható összekötő hálózattal rendelkeznek Felhasználó által programozhatóak Többszintű logikát valósítanak meg Az FPGA kat az összekötő huzalozási elrendezés alapján az alábbi kategóriákba sorolhatjuk: Szimmetrikus huzalozási elrendezés (pl.: XILINX) Aszimmetrikus huzalozási elrendezés (pl.: ACTEL) Kaputenger topológiájú elrendezés (pl.: ATMEL) Hierarchikus architektúrájú elrendezés (pl.: ALTERA)

3 Egy általános FPGA felépítése: Az FPGA k felépítésének 3 alapvető eleme: Programozható logikai blokk (Configurable Logic Block - CLB) Az FPGA és a környezete közti kapcsolatot biztosító be/ki -meneti blokk (Input-Output Block - IOB). A CLB ket egymással és az IOB kel összekötő programozható huzalozás (routing). IOB -ok Konfigurálható logikai blokkok (CLB -k) Programozható huzalozás

4 Ez a kép most nem jeleníthető meg. Az egyes FPGA elemek leírása: 1. Programozható logikai blokkok CLB -k: az eszköz által megvalósítható logikai funkciók létrehozása ezekben a blokkokban jön létre. Az egyes logikai blokkok nagy mértékben eltérhetnek egymástól (pl.: lehet egyik NAND kapu, másik egy ULM modul, ). A CLB k egyszerűsége, vagy bonyolultsága nagy mértékben befolyásolja a huzalozási kapacitást. (Ha egyszerű blokkokból bonyolult hálózatot szeretnénk felépíteni, ez sok huzalozást igényel, míg komplexebb blokkok esetében kevesebb huzalozás is elég lehet, nő a logikai blokk kihasználtsága.) 2. Az IOB k: logikai bementként kimenetként, ill. kétirányú elemként is programozhatóak. A be- kimenetek regiszteres, ill. regiszter nélküli üzemmódban is működtethetőek (programozhatóak). 3. Az összekötési mátrix (routing): vezetékeket és programozható kapcsolókat tartalmaz. A programozás valójában ezen kapcsolók be-/ kikapcsolása, (a bekapcsolás pl. galvanikus összekötést hoz létre két vezeték között). Programozható kapcsolóként használatos elemek: 1. RAM cellával vezérelt áteresztő tranzisztor 2. Anti biztosíték 3. EPROM vagy EEPROM tranzisztorok

5 XILINX FPGA eszközök: XILINX FPGA családok: XC2000, XC3000, XC4000 az egyes családok közti különbségeket a táblázat mutatja: Egy Xilinx XC2000-s CLB funkcionális felépítése (a trapéz alakú elemek a multiplexereket ábrázolják, amik szintén programozhatóak.):

6 XILINX FPGA eszközök: Egy Xilinx XC3000-s CLB funkcionális felépítése (a trapéz alakú elemek a multiplexereket ábrázolják, amik szintén programozhatóak.): 1db, 5bementű CLB, 2db DFF regiszter X, Y - kimenetek

7 XILINX FPGA eszközök: Egy Xilinx XC4000-s CLB funkcionális felépítése (a trapéz alakú elemek a multiplexereket ábrázolják, amik szintén programozhatóak.): 2db 4 bemenetű és 1db 3 bemenetű CLB bármilyen 2db 4változós, vagy 1db 5változós függvény megvalósítható. C1..C4 kiválasztó egység a következő jeleket biztosítja: EC (órajel engedélyezése) S/R (aszinkron beírás/törlés) DIN (adat bemenet) H1 (a második szintű kombinációs hálózat bemeneti jele) Továbbá a CLB 2db DFF-et tartalmaz, kimenetek X, Y. Az S/R révén a DFF-ek aszinkron módon beírhatók vagy törölhetőek. A CLB-k bementi blokkjai (F, G) RAM-ként illetve aritmetikai modulként is konfigurálhatók. Az XC4000 6féle módon (üzemmódban) konfigurálható: Mester soros Szolga soros Mester párhuzamos soros Szolga párhuzamos soros Szinkron periféria soros Aszinkron periféria soros Mester üzemmódban az FPGA maga állítja elő a cím és vezérlőjeleket, amely alapján (bit vagy bájt vezérlésben) egy ROM-ból az információ betöltődik az FPGA-ba Soros szolga üzemmódban az FPGA a soros konfigurációs adatot, kívülről jövő órajellel időzítve, egy soros EPROM-ból kapja.

8 XILINX FPGA eszközök: Huzalozási mátrix: Az FPGA-k harmadik fő eleme a chipen belüli összes összeköttetés, a különböző hosszúságú huzalszakaszok, és a programozható kapcsolók alkotják. A vezetékek 3 csoportja: -Általános célú (2 blokknyi távolságot összekötő vezetékek) hosszabb útvonalak kialakítása a késleltetések miatt ilyen vezetékekkel nem célszerű. A kapcsolómátrixok programozható n csatornás áteresztő tranzisztorokból állnak -Hosszú vezetékszakaszok a chip teljes szélességében, hosszában kihasználhatóak. -Globális vezetékek az órajelek és időzítések szempontjából fontos jelek szétosztása. - 4db elsődleges vezeték - 4db másodlagos

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

A PLÁ k programozhatóságát biztosító eszközök

A PLÁ k programozhatóságát biztosító eszközök Programozható logikai áramkörök A PLÁ k programozhatóságát biztosító eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel Rendszerspecifikáció BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK Irányítástechnika Az irányítás olyan művelet, mely beavatkozik valamely műszaki folyamatba annak: létrehozása (elindítása)

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Integrált áramkörök/5 ASIC áramkörök

Integrált áramkörök/5 ASIC áramkörök Integrált áramkörök/5 ASIC áramkörök Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/33 Mai témák Az integrált áramkörök felosztása Integrált áramkörök létrehozása Integrált áramkörök tervezése

Részletesebben

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 6. előadás: Vezérlő egységek II. - Programozható logikai eszközök Előadó: Dr. Vörösházi Zsolt

Részletesebben

PLA és FPLA áramkörök

PLA és FPLA áramkörök Programozható logikai áramkörök PLA és FPLA áramkörök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Kombinációs hálózatok Adatszelektorok, multiplexer

Kombinációs hálózatok Adatszelektorok, multiplexer Adatszelektorok, multiplexer Jellemző példa multiplexer és demultiplexer alkalmazására: adó egyutas adatátvitel vevő adatvezeték cím címvezeték (opcionális) A multiplexer az adóoldali jelvezetékeken jelenlévő

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGIÁLIS ECHNIA II r Lovassy Rita r Pődör Bálint Óbudai Egyetem V Mikroelektronikai és echnológia Intézet 3 ELŐAÁS 3 ELŐAÁS ELEMI SORRENI HÁLÓZAO: FLIP-FLOPO (2 RÉSZ) 2 AZ ELŐAÁS ÉS A ANANYAG Az előadások

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)=

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)= 1. ZH A 1.)FPGA A Xilinx FPGA áramköröknek három alapvető építőeleme van: CLB: konfigurálható logikai blokk: szükséges logikai kapcsolatok megvalósítása egy logikai tömbben. Tartalmaz 2db. D Flip-Flop-ot

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

Programozható logikai vezérlõk

Programozható logikai vezérlõk BUDAPESTI MÛSZAKI EGYETEM KÖZLEKEDÉSMÉRNÖKI KAR KÖZLEKEDÉSAUTOMATIKAI TANSZÉK Programozható logikai vezérlõk Segédlet az Irányítástechnika I. c. tárgyhoz Összeállította: Szabó Géza egyetemi tanársegéd

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Kívánalom: sok kapu kevés láb Kombinációs áramkörök efiníció: kimeneteket egyértelműen meghatározzák a pillanatnyi bemenetek Multiplexer: n vezérlő bemenet, 2 n adatbemenet, kimenet z egyik adatbemenet

Részletesebben

1. A programozható logikai eszközök főbb csoportjai

1. A programozható logikai eszközök főbb csoportjai 1. A programozható logikai eszközök főbb csoportjai 1.1 Logikai hálózatok gyakorlati megvalósítása A logikai hálózatokat a gyakorlatban háromféle alkatrésztípusból készíthetjük el. Ezek: Diszkrét logikai

Részletesebben

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK Misák Sándor PROGRAMOZHATÓ LOGIKAI VEZÉRLİK 1. elıadás DE TTK v.0.2 (2012.09.26.) 1. Bolton W. Programmable logic controllers. New Delhi: Newnes (Elsevier), 2008. 2. Hackworth J.R., Hackworth F.D, Jr.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Máté: Számítógép architektúrák 20100922 Programozható logikai tömbök: PLA (315 ábra) (Programmable Logic Array) 6 kimenet Ha ezt a biztosítékot kiégetjük, akkor nem jelenik meg B# az 1 es ÉS kapu bemenetén

Részletesebben

Programozható Logikai Vezérlő

Programozható Logikai Vezérlő 4. előadás Tartalom: A feladata A felépítése, típusai, részegységei Programnyelvek Programozás (FST) FESTO -k bemutatása (FEC20-DC, ) FEC programozása FST bemutatása Automatizálástechnika I. előadás Farkas

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TECHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 4. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató P.: Logikai rendszerek tervezése (171-189

Részletesebben

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai Közlekedés gépjárművek elektronikája, diagnosztikája Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai TÁMOP-2.2.3-09/1-2009-0010 A Széchenyi István Térségi Integrált Szakképző

Részletesebben

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre VEZÉRLŐK Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. A fealdatot

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

INVERSE MULTIPLEXER RACK

INVERSE MULTIPLEXER RACK SP 7505 Tartalomjegyzék...1 Általános ismertetés...2 Követelmények...2 Felépítése és működése...3 Beállítások...3 Felügyelet...3 Csatlakozók...3 Kijelzők...3 Műszaki adatok:...4 G703 felület:...4 LAN felület:...4

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Bit: egy bináris számjegy, vagy olyan áramkör, amely egy bináris számjegy ábrázolására alkalmas. Bájt (Byte): 8 bites egység, 8 bites szám. Előjeles fixpontok számok: 2 8 = 256 különböző 8 bites szám lehetséges.

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Készítette: Oláh István mestertanár

Készítette: Oláh István mestertanár BME Automatizálási és Alkalmazott Informatikai Tanszék Villamos laboratórium 1. PLC-k programoza sa Mérési útmutató Készítette: Oláh István mestertanár (olah.istvan@aut.bme.hu) 2014. szeptember Bevezetés

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS DIGITÁLIS THNIK I Dr. Lovassy Rita Dr. Pődör álint Óbudai gyetem KVK Mikroelektronikai és Technológia Intézet. LŐDÁS PÉLD: KÖZÜL DKÓDÓLÓ / O O O Háromból nyolcvonalas dekódoló engedélyező bemenettel. kimeneti

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

MEM 5. A DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A FÉLVEZETŐ ALAPÚ MEMÓRIÁK

MEM 5. A DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A FÉLVEZETŐ ALAPÚ MEMÓRIÁK 5. A DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A digitális berendezések a feladatuk ellátása közben rendszerint nagy mennyiségű adatot dolgoznak fel. Feldolgozás előtt és után rendszerint tárolni kell az adatokat.

Részletesebben

Digitális Rendszerek és Számítógép Architektúrák (BSc államvizsga tétel)

Digitális Rendszerek és Számítógép Architektúrák (BSc államvizsga tétel) Pannon Egyetem Villamosmérnöki és Információs Rendszerek Tanszék Digitális Rendszerek és Számítógép Architektúrák (BSc államvizsga tétel) 3. tétel: Vezérlő egységek, programozható logikai eszközök (modell

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

Digitálistechnika II. 1. rész

Digitálistechnika II. 1. rész Digitálistechnika II. 1. rész Oktatási cél: A tárgy keretében a Digitális technika I. tárgyban szerzett elméleti ismeretek elmélyítésére kerül sor. A hallgatók gyakorlati feladat-megoldások segítségével

Részletesebben

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A az energiaellátás minőségi jellemzőinek mérésére szolgáló szabadon programozható készülék. Épületfelügyeleti rendszerben (BMS), valamint önállóan

Részletesebben

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 A modul működése... 2 3 A CODEC konfigurációja... 3 4 Időzítési

Részletesebben

Digitális technika VIMIAA02 6. EA

Digitális technika VIMIAA02 6. EA BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 6. EA Fehér Béla BME MIT Kiegészítés az eddigi

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Digitális technika VIMIAA02 6. EA Fehér Béla BME MIT

Digitális technika VIMIAA02 6. EA Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 6. EA Fehér Béla BME MIT Kiegészítés az eddigi

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

Programozható logikai vezérlő

Programozható logikai vezérlő PROGRAMABLE LOGIC CONTROLLER Programozható logikai vezérlő Vezérlés fejlődése Elektromechanikus (relés) vezérlések Huzalozott logikájú elektronikus vezérlések Számítógépes, programozható vezérlők A programozható

Részletesebben

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Elektronikus Eszközök Tanszék Mai témák Transzfer kapu Kombinációs logikai elemek különböző CMOS megvalósításokkal Meghajtó áramkörök

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben