1. A programozható logikai eszközök főbb csoportjai

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "1. A programozható logikai eszközök főbb csoportjai"

Átírás

1 1. A programozható logikai eszközök főbb csoportjai 1.1 Logikai hálózatok gyakorlati megvalósítása A logikai hálózatokat a gyakorlatban háromféle alkatrésztípusból készíthetjük el. Ezek: Diszkrét logikai áramkörök. Ezek a chipek alacsony integráltságúak, elemi flipflopokat és kapukat tartalmaznak. Ennek a megoldásnak hátránya, hogy nehéz takarékosan tervezni az áramköri lapon, a chipek közti késleltetési idők miatt a hálózat lassabb. Fogyasztási szempontból is hátrányosabb ez a megoldás a többihez képest. Maszkprogramozott eszközök (MPD - Mask Programmed Device). Ezek alkalmazásspecifikus (ASIC - Application Specific Intergrated Circuit) áramkörök, adott feladatra tervezve. Mivel esetükben a logikai hálózat egy IC-n belül foglal helyet, ezek gyorsabbak, kevesebb helyet foglalnak az áramköri lapon, és kisebb a fogyasztásuk is. Hátrányuk, hogy éppen specializáltságuk miatt csak nagy darabszám ( ) esetén gazdaságos használatuk a gyártási költségek miatt. Felhasználó által programozható logikai eszközök (FPD - Field Programmable Device). Ezek a maszkprogramozott IC-khez hasonlóan ASIC eszközök, előnyük viszont, hogy a felhasználó által programozhatóak. Előnyük a rugalmasság valamint az alacsony ár már kis darabszám felhasználása esetén is. Sebességük szintén jó, bár valamelyest elmaradhatnak a MPD-ktől, hiszen a programozhatóság miatt "felesleges" alkatrészek is megtalálhatóak bennük. Nagy előny viszont, hogy gyorsabban előállítható velük a kívánt logikai hálózat, mint az MPD-k esetében, hiszen programozhatóságuk miatt nem kell a speciális MPD IC-k legyártására várni. Megjegyezendő, hogy az FPD-knek általában létezik maszkprogramozott változata, így az FPD-kkel végezhető a fejlesztés, a maszkprogramozott típussal pedig a gyártás. A továbbiakban, ha programozható logikai eszközökről (PLD - Programmable Logic Device) esik szó, úgy a felhasználó által programozható eszközöket kell érteni alatta. 1.2 A programozható logikai eszközök főbb csoportjai. A programozható logikai eszközök csoportosítása nem könnyű feladat. Viszonylag új technológiának számítanak, amelyből számtalan típus jelent meg rövid idő alatt. Már a rendelkezésre álló architektúrák (architektúra alatt értve az eszközök azon

2 tulajdonságait, amelyek a logikai felépítést - ki-és bemenetek száma, programozható tömbök mérete stb.) száma is százas nagyságrendű, ha pedig a különféle technológiai megoldásokat (áramkör-technikai megoldások, sebesség, újraírhatóság, tokozás stb.) is figyelembe véve szeretnénk csoportosítani, akkor a választék már több ezres. Megjegyezendő, hogy nem csak PLD-k segítségével készíthetünk logikai hálózatokat, hanem memória áramkörökkel (PROM, EPROM stb.) is. Ilyenkor a memóriában eltároljuk a megvalósítandó hálózat igazságtáblázatát úgy, hogy az egyes bemeneti kombinációknak megfelelő címeken hivatkozva a memória kimenetén a logikai hálózat adott bemenetre adott válaszát kapjuk. A memória áramkörök használatának több hátránya van: általában nem túl hely- és eszköztakarékos megoldás, különösen sokváltozós függvények esetén, valamint sebességben is elmaradnak a PLD-ktől. A memóriáktól eltérően a PLD-k nem az igazságtáblázatot, hanem magát a logikai egyenletet tárolják programozható mátrixaikban, mivel megfelelően nagy ÉS illetve VAGY mátrixokkal (léteznek PLD-k, amelyek NEMÉS/NEMÉS esetleg NEMVAGY/NEMVAGY mátrixokat használnak) bármely logikai függvény megvalósítható. A programozható logikai áramkörök ábrázolásmódjáról érdemes megemlíteni, hogy helytakarékosság miatt egyszerűsítve jelölik a logikai kapukat: 1.1. Ábra A logikai függvény egyszerűsített ábrázolása. Kialakításuk alapján a PLD-ket a következő csoportokba szokás sorolni: Egyszerű programozható logikai eszközök (SPLD - Simple Programmable Logic Device) Ezek az eszközök általában kétszintű logikai hálózatot tartalmaznak, kevesebb, mint 1000 kapuval. Az SPLD-k (és a programozható logikák) első képviselője a PLA (Programmable Logical Array - Programozható Logikai Tömb) volt, sematikus rajza az 1.2. Ábrán található: 1

3 Mint látható, az ÉS illetve a VAGY kapuk egy-egy mátrixon keresztül kapcsolhatóak össze. Léteznek PLA-k, melyek a kimeneteknél beépített flipflopokat tartalmaznak, ezáltal felhasználhatóak sorrendi hálózatok megvalósításához is. A PLA eszközök fő hátránya, hogy a két programozható mátrix beépítése egyrészt megdrágítja a gyártást, másrészt az eszköz sebességét is csökkenti, hiszen a jeleknek két mátrixon kell végighaladnia Ábra. PLA eszköz vázlata Ezen problémák kiküszöbölésére születtek meg a PAL-ok (Programmable Array Logic - Programozható Tömblogikák), melyekben csupán az ÉS mátrix programozható, a VAGY mátrix nem, emiatt előállításuk olcsóbb, programozásukhoz egyszerűbb hardver szükséges, és sebességük nagyobb. Ezen tulajdonságaiknak 1.3. Ábra. PAL eszköz vázlata köszönhetően, bár kevésbé rugalmasan használhatók, jóval elterjedtebbé váltak a PLA logikáknál. A PAL-ok sematikus rajza az 1.3. ábrán látható. 2

4 Gyakoriak az olyan típusok, melyeknél a kimeneteken beépített regiszterek találhatóak, melyek kimenete visszacsatolható az ÉS mátrixba. Ez a tulajdonság javítja az eszköz használhatóságát sorrendi hálózatok megvalósításánál Komplex programozható logikai eszközök (CPLD - Complex Programmable Logic Device) Ezek az eszközök gyakorlatilag több, egy tokba integrált, SPLD-nek felelnek meg. Ez a fejlesztési irány meglehetősen új, és számos különféle, nehezen csoportosítható architektúra létezik. A Lattice által gyártott isplsi eszközöknél például az SPLD-nek megfelelő elemeket általános logikai tömbnek (GLB - Generic Logic Block) nevezik, melyek megatömböknek nevezett csoportokat alkotnak. Ezen megatömbök működését a Globális Vezérlő Áramkör (GRP - Global Routing Pool) hangolja össze Felhasználó által programozható kapu mátrix (FPGA - Field Programmable Gate Array) Az FPGA-k az eddig említett eszközöknél is rugalmasabban programozhatóak. Itt ugyanis (pl. a Xilinx cég FPGA-inál) úgynevezett Programozható Logikai Blokkok (CLB - Configurable Logic Block) alkotnak mátrixot, amelyen belül teljesen szabadon köthetőek össze egymással programozható kapcsolók segítségével. A CLB-k elrendezése típustól függően többféle is lehet, a hierarchikus FPGA-knál például az előbb említett megatömbökhöz hasonlóan SCLB-kbe (Super Configurable Logic Block) csoportosítják a logikai tömböket PLD-k csoportosítása programozhatóság szerint Programozhatóság alapján a PLD-k lehetnek: Egyszer programozhatóak, illetve Újraprogramozhatóak Az egyszer programozható eszközökben általában a mátrix találkozási pontjainál elhelyezett elemi biztosítékok kiégetésével történik az írás. Az újraprogramozható eszközök ezzel szemben törölhetőek elektromos úton, vagy UV fénnyel. Megkülönböztetünk továbbá felejtő (volatile) és nem felejtő (non-volatile) típusokat; előbbieket újra kell programozni, ha a tápfeszültséget lekapcsoltuk. Ilyenek például a Xilinx FPGA-k, melyeknél a program SRAM-ban tárolódik. 3

5 A programozáshoz általában az üzeminél magasabb feszültség szükséges, ezért a legtöbb PLD-t kizárólag az áramkörből kivéve, speciális programozó hardverrel kell felprogramozni. A Lattice által gyártott PAL/GAL eszközök EEPROM CMOS technikával (E²CMOS) készülnek, amely elektromosan törölhető/újraprogramozható, valamint kikapcsolt állapotban is megőrzi a programját. 1.3 A PLD-k programozása A programozáshoz szükség van egy JEDEC formátumú biztosíték térképre, amely tartalmazza többek között: Az IC lábainak számát Az IC biztosítékainak (mátrixpontjainak) számát Mely mátrixpont milyen értéket kapjon az írás során. Az eszköz fizikai teszteléshez szükséges tesztvektorokat. A JEDEC fájl akár elkészíthető "kézzel" is, ha pontosan ismerjük az IC működését, felépítését valamint az egyes biztosítékok címét. Ez a módszer azonban nem javasolt, mivel rendkívül lassú, sok a hibalehetőség, és programozás előtti tesztelésre sincs lehetőség. Tanácsosabb inkább valamilyen fejlesztőprogram használata. A fejlesztőprogramok többféle módszert tesznek lehetővé a tervező számára a fejlesztéshez. Az egyik ilyen valamely hardver leíró nyelv (HDL - hardware description language) használata. Ezek közül néhány: ABEL (Advanced Boolean Expression Language) PALASM (PAL assembler) VHDL (Very high speed integrated circuit Hardware Design Language) Verilog HDL A hardver leíró nyelvek egy része alkalmas a teljesen eszközfüggetlen fejlesztésre, tehát nincs szükség gépi kód jellegű programozásra, hanem egy magas szintű (C-hez vagy Pascalhoz hasonló) nyelvvel dolgozhatunk, hagyományos, magas szintű programozási módszereket felhasználva. 4

6 A leírónyelvek másik előnye, hogy lehetővé teszik bonyolultabb feladatok különálló, könnyebben kezelhető alrészekre bontását, ezzel is megkönnyítve a fejlesztést. Harmadrészt pedig, a hardver leíró nyelvek lehetővé teszik, hogy a fejlesztőrendszeren keresztül, a megadott tesztvektorok segítségével szimulálhassuk az eszköz működését még a leprogramozás előtt. Más tervezőrendszerek lehetővé teszik, hogy grafikus szimbólumokból (kapuk, flipflopok, stb.) sematikus ábrát készítve tervezzük meg a logikai hálózatot. Ilyen tervezőprogram például az OrCad is. Ez a módszer főleg az FPGA-k programozásánál elterjedt. Egyes tervezőrendszerek lehetővé teszik, hogy adott feladatot több forrással, például különálló HDL programokkal, sematikus ábrákkal, vagy ezek kombinációjával tervezzünk meg. Ezeket a program lefordítása előtt a fejlesztőrendszernek egyesítenie (linkelnie) kell egymással. A fordítás során a megadott feladat leírását a fordító olyan leírássá alakítsa át, amely a célhardver szerkezetéhez legjobban illeszkedik. Ilyenkor a fordító valamennyi operátort (legyenek azok logikai, aritmetikai, relációs, stb. operátorok) NEM, ÉS, VAGY illetve KIZÁRÓ VAGY operátorral helyettesít. A forrás szintaktikai ellenőrzésére is ekkor kerül sor. A fordítás befejezése után az előállított logikai egyenletet általában optimalizálni kell. A Quine-McCluskey féle optimalizálási módszer ismert és egzakt eljárás, de sajnos meglehetősen lassú, leginkább az SPLD-k optimalizásánál produkál elfogadható időt. Sokváltozós egyenletek esetén heurisztikus algoritmusokat érdemes használni. Ilyenek például a PRESTO illetve az ESPRESSO algoritmusok. A fejlesztőrendszerek általában többféle optimalizálási módszer közül engednek választani. A fordítás és optimalizálás után kerülhet sor a logikai rendszer szimulációjára. A szimulációhoz a tervezőnek tesztvektorok formájában meg kell adnia, hogy a bemenő jelek különböző lehetséges kombinációihoz (bemeneti állapothoz) a feladat célkitűzései alapján milyen kimenő jelek tartoznak, ezeket feltételezett kimenőjeleknek hívjuk. A tervezőprogram a megtervezett logikai rendszert használva meghatározza a tesztvektorokban meghatározott bemenőjel kombinációkhoz tartozó kimenő jeleket. Ezeket számított kimenőjeleknek nevezzük. Ha a feltételezett és számított kimenőjelek nem egyeznek meg, a tervezett rendszer nem felel meg a specifikációknak, és a hibát ki kell javítanunk. A feltételezett és számított kimenőjelek közti különbségek általában 5

7 segítséget nyújtanak a hiba megtalálásában. A hardver leíró nyelvek nagy előnye, hogy tartalmazzák magukban a tesztelés lehetőségét. Ha a szimuláció során nem találtunk hibát, sor kerülhet a JEDEC fájl létrehozására az optimalizált logikai egyenletből, az adott PLD típusra. A JEDEC fájl által tartalmazott, fizikai teszteléshez szükséges tesztvektorok lehetőséget adnak arra, hogy a programozóba helyezet eszközt a valóságban is tesztelhessük, és a teszteredményeket összehasonlítsuk a szimulált kimenőjelekkel. Megjegyezendő, hogy néhány fejlesztőprogram (ilyen az ispdesignexpert is) lehetőséget nyújt eszközfüggetlen tervezésre is. Ebben az esetben az optimalizálás után még két további lépés következik: az eszközválasztás és a leképezés. Az eszközválasztás során a program megvizsgálja, hogy a megtervezett logikai rendszer fizikai megvalósítására milyen programozható logikák alkalmasak, és ezek közül melyek felelnek meg a felhasználó által meghatározott egyéb követelményeknek (sebesség, fogyasztás, tokozás, ár stb.). A vizsgált kritériumok lehetnek többek között: A feladatban megfogalmazott, valamint az eszközben rendelkezésre álló be- és kimeneteinek száma. A feladat szorzattagjainak száma összehasonlítva az eszköz összes szorzattagjainak számával. A feladat által igényelt kimeneti típus (kombinációs vagy regiszteres) rendelkezésre áll-e az eszközben. Eleget tesz-e az eszköz a feladat esetleges speciális igényeinek: nyitott kollektoros kimenet, 3,3/5V-os kimenetek, bemeneti felhúzó ellenállások, stb. Rendelkezésre állnak-e az igényelt vezérlőjelek az eszközben: szinkron és aszinkron órajel, regiszter beíró és törlőbemenetek, kimenet engedélyező/tiltó jelek, stb. A leképezés során a programnak el kell helyeznie a kiválasztott eszközben a megtervezett áramkört. Ilyenkor a megvalósítandó egyenleteket hozzárendeli az eszköz kivezetéseihez és belső csomópontjaihoz. A leképezés során a program kihasználja az egyes SPLD eszközök, például a GAL22V10-nek azt a tulajdonságát, hogy egyes kimenethez tartozó mátrixkivezetések száma különböző, emiatt lehetőség van a 6

8 takarékos helykihasználásra. Amennyiben az elhelyezés sikeres volt, sor kerülhet a JEDEC fájl létrehozására. Megjegyezendő, hogy mind az eszközválasztás, mind a leképezés tartogat magában hibalehetőségeket, és előfordulhat, hogy az alkalmasnak nyilvánított eszközről kiderül, hogy mégsem képezhető le rá az adott logikai hálózat. Ennek oka lehet az is, ha előre hozzárendelt kivezetéseket tartalmaz a terv, ilyenkor ugyanis gyakran előfordul, hogy a megkötések miatt a rendszer nem képes az optimális helykihasználásra. 7

9 2. A GAL eszközök A GAL eszközöket (GAL - Generic Array Logic; Általános Tömb Logika) a Lattice Semiconductor fejlesztette ki 1985-ben. Felépítésben a PAL-ok továbbfejlesztésének tekinthetőek, ugyanis a kimeneteken programozható kimeneti áramköröket, más néven kimeneti logikai makrocellákat (OLMC) helyeztek el, amelynek a VAGY kapuk is részei. Ezek segítségével egyrészt emulálhatóak az egyes PAL típusok különféle kimeneti regiszterei, másrészt az eszköz jóval sokoldalúbban programozhatóvá válik. Ennek eredményeképpen számos PAL típus kiválthatóvá válik az azonos lábszámú GAL IC-k felhasználásával (a gyártó szerint 5 standard GAL típus; a 16V8, 20V8, 22V10, 20RA10 és a 20XV10 képes helyettesíteni a kereskedelemben kapható bipoláris PAL-ok 98%-át), a hozzájuk készült JEDEC biztosíték térkép ugyanis átalakítható a GAL eszköz formátumára. Bizonyos programozó hardverek automatikusan képesek arra, hogy a meglevő PAL JEDEC fájlból közvetlenül felprogramozzák az adott eszközzel felülről kompatíbilis GAL-t. A GAL eszközöket főleg TTL logikai áramkörök helyett használják, amelyekből akár 5-10 darabot is kiválthat egyszerre. Tipikus felhasználási területei lehetnek például a busz interfész áramkörök, memóriavezérlők, számlálók stb. A továbbiakban ugyan említem az egyes típusok makrocelláinak kialakítását, üzemmódjait, vezérlő biteket, ezek azonban csak az eszközök működési elvének megismeréséhez szükségesek; ha az ispdesignexpert fejlesztőprogrammal dolgozunk, akkor ezeknek a beállítását a program önállóan elvégzi. Megjegyezendő, hogy bár a GAL eszközöket a Lattice fejlesztette ki, néhány más cég, például a National Semiconductor vagy az Intel is készít GAL-okat illetve azokkal kompatíbilis logikákat. A továbbiakban kizárólag a Lattice típusairól esik szó. 2.1 Típusok Alapvetően két fő GAL kategória létezik: az egyik a 16V8 és 20V8, a másik a 22V10. A fő különbség köztük a kimeneti makrocellák kezelésében van: GAL16V8/20V8 A két típus gyakorlatilag csak a lábak számában tér el egymástól. (A GAL-ok típusjelében az első szám a bemenetek, a második a kimenetek maximális számát jelzi.) 8

10 A 2.1 Ábrán látható a 16V8 típus blokkdiagramja. A nyolc makrocella kimenetként és bemenetként is konfigurálható, hiszen az ÉS mátrixból érkező 8-8 bemenet mellett minden makrocellából 1-1 visszacsatolás indul vissza a mátrixba. A makrocellák háromféle konfigurációs módba kapcsolhatóak: egyszerű, komplex és regiszteres. Ezek a konfigurációs módok a SYN és AC0 bitek segítségével állíthatóak be. Minden makrocellához tartozik még egy-egy AC1 bit, amellyel beállítható, hogy az adott cella ki Ábra. GAL18V6 vagy bemenet-e, illetve egy XOR bit, amely azt dönti el, hogy az adott kimenet invertáló vagy nem invertáló típusú. Az AC0, AC1 és SYN bitek összesen öt multiplexert vezérelnek, amelyek a makrocellák módját vezérlik. Regiszteres mód Regiszteres módban a makrocellák programozhatók dedikált, regiszteres, vagy kombinációs kimenetnek Ábra Regiszteres konfiguráció Regiszteres konfigurációban a makrocellák közös órajelet (16V8-as típusnál ez az 1-es lábra csatlakozik), és kimenet engedélyező jelet (11-es láb) kapnak. 9

11 2.3. Ábra. Kombinációs I/O konfiguráció Kombinációs I/O konfigurációban az ÉS mátrixból csak 7 bemenet érkezik a makrocellába, a nyolcadikat a PTMUX (product term) multiplexer a TSMUX (tristate) multiplexerre kapcsolja, amely a kimeneti buffer tristate vezérlőjelét kapcsolja. Az említetteken kívül még három másik multiplexer is található minden makrocellában: OMUX (output) multiplexer - ez kapcsolja a kimenetet regiszteres vagy kombinációs módba AC0 és AC1 függvényében (jelen esetben AC0=1, AC1 pedig 0 kombinációs, 1 regiszteres konfigurációban). FMUX (feedback) multiplexer - a visszacsatolást változtatja az adott kimenetről, illetve kapcsolja bemenetté a makrocellát. Az utolsó multiplexer a TSMUX egyik bemenetét vezérli. Komplex mód 2.4. Ábra. Kombinációs I/O konfiguráció 2.5. Ábra. Kombinációs kimenet konfiguráció. Komplex módban csak 6 makrocella állítható ki- és bemenet módba, a két szélső (12 és 19-es lábak, 20V8-on a 15 és 22-es lábak) cella visszacsatolását az 1-es és 11-es (20V8-on az 1-es és 13-as) lábak használják, amelyek ebben a módban bemenetté válnak. Emiatt a két szélső makrocella nem használható bemenetként. Mint látható, az ÉS mátrixból csak 7 bemenet érkezik a makrocellába, a nyolcadik a kimenet engedélyezését végzi. 10

12 Egyszerű mód 2.6 Ábra. Kombinációs kimenet visszacsatolással Egyszerű módban a makrocellák dedikált visszacsatolásos kombinációs kimenetnek, dedikált visszacsatolás nélküli kombinációs kimenetnek, vagy dedikált bemenetnek állíthatók be. Mivel a visszacsatolások a szomszédos bemeneten keresztül haladnak, a két legbelső láb (15,16; 20V8-ason 18,19- es lábak) csak kimenetek lehetnek Ábra. Kombinációs kimenet visszacsatolás nélkül 2.8. Ábra. Dedikált bemenet GAL22V10 A 22V10-es típus több szempontból eltér a 18V6-tól. Ahogy a nevéből is látszik, több be- és kimenete van. Az eszköz blokkdiagramja a 7. ábrán látható. Az első lényeges különbség, hogy a makrocellákhoz tartozó ÉS mátrixbemenetek száma nem azonos, nyolctól tizenhatig terjedhet. Ennek oka az, hogy ha a megvalósítandó logikai függvény különböző számú szorzattagból áll, a különböző számú 2.9. Ábra GAL22V10 11

13 bemenetek segítségével ez takarékosabban programozható le. A másik fő különbség az, hogy a makrocelláknak csak két működési módja van: regiszteres és kombinációs I/O mód, amelyeket az S1 bittel állíthatunk be. Az S0 bit segítségével állíthatjuk be, hogy az adott makrocella kimenete invertált legyen-e vagy nem. Az S1 és S0 bitek cellánként két multiplexer segítségével állítják be a kívánt üzemmódot. A sematikus ábrán feltüntetetthez Ábra. Makrocellák regiszteres képest az ÉS mátrixból további három módban bemenet csatlakozhat minden cellához. Ebből egy a kimenet engedélyezésére szolgál, további kettőnek, amely az összes cellára vonatkozik, regiszteres módban van szerepe. Az egyik bemenet a szinkron beírójel (SP- Synchronous Preset), amely az összes makrocella regisztert logikai 1-es helyzetbe állítja a következő felfutó órajelnél, a másik az aszinkron törlőjel (AR- Asynchronous Reset), amely az összes makrocella regisztert azonnal logikai 0 helyzetbe állítja. Ezek a beállítások függetlenek attól, hogy a regiszterek invertáltak-e vagy sem. Regiszteres módban az 1-es láb használható órajelbemenetként Ábra Makrocellák kombinációs módban Kombinációs módban a makrocellák vezérlését kizárólag az ÉS mátrix kimenetei végzik. (2.10. Ábra) 12

14 2.1.3 Tipusváltozatok A 18V6 illetve 22V10-nek léteznek egyéb szolgáltatásokat nyújtó változataik. Ezek röviden a következők: Alacsony feszültségű (low-voltage) eszközök: 16LV8, 20LV8, 22LV10. Ezek 3,3Vról üzemelő GAL-ok. Zero-power eszközök: 16V8Z/ZD, 22LV10Z/ZD stb. Ezek képesek energiatakarékos üzemmódra. A Z változatok automatikusan áttérnek erre az üzemmódra, ha meghatározott ideig nincs aktivitás a bemeneteiken, a ZD változatoknál az egyik (4-es) láb használható üzemmód-váltásra. Emiatt természetesen ez a láb nem használható bemenetként. VP eszközök: 16VP8, 20VP8. Ezeknél az eszközöknél a bemeneteken, illetve a makrocellák ÉS mátrixba való visszacsatolásán Schmitt-triggereket helyeztek el, emiatt különösen alkalmasak például buszcímzési, DMA vezérlési, vagy memóriacímzési feladatokra GAL18V10 Ez a típus átmenet a 18V6 és a 22V10 között. Makrocelláinak programozhatóságában a 22V10-re hasonlít, de lábkompatíbilis a 18V6 típussal. Ennek az eszköznek a fő feladata, hogy kiváltsa azokat a bonyolultabb PAL-okat, amelyeket a 18V6-os nem tud helyettesíteni, illetve, hogy a szokásosnál jóval rugalmasabban programozható 20 lábú PLD-ként szolgáljon GAL26CV12/GAL26CLV12 Ezek a típusok gyakorlatilag a 22V10 típus 28 lábú változatai GAL20RA10 Az eddigi típusoktól eltérően a 20RA10 aszinkron működésű, azaz minden makrocella más-más órajellel programozható. A 10 makrocella egy-egy D flip-flopot tartalmaz, amelyeket a makrocellába érkező nyolc ÉS-mátrix bemenet közül négy vezérel; két bemenet viselkedik órajeltől és D-bemenettől független aszinkron törlő (AR) és beírójelként (AP). Egy bemenet használható órajel-forrásként. Mivel ez is az ÉSmátrixból jön, órajelet az IC bármelyik bemeneti lábán vagy bármelyik flip-flop visszacsatolt kimenetén keresztül hozhatunk. A negyedik vezérlő ÉS-mátrix bemenet ÉS-kapcsolatban van az általános kimenet engedélyező jellel. 13

15 2.11. Ábra 20RA10 OMLC A 20RA10 makrocelláinak két működési módja van: regiszteres illetve kombinációs mód. Ez utóbbit AR és AP 1-esre állításával kapjuk, tehát az üzemmódok akár üzem közben is változtathatóak Ábra Makrocella regiszteres módban Ábra. Makrocella kombinációs módban GAL20XV10 A GAL20XV10 makrocelláinak VAGY-kapui nem közvetlenül a kimenetre vagy D flip-flop bemenetére kapcsolódnak, hanem egy kizáró-vagy kapura, és ennek kimenete csatlakozik a D flip-flopra vagy közvetlenül a kimenetre. A kizáró-vagy kapus kimenetek különösen lineáris logikai áramkörök megvalósításában előnyösek, például hibafelismerő- vagy javító áramköröknél, de gyors számlálók vagy dekódolók készítésénél is GAL6001, GAL6002 A GAL6001/6002 feltehetőleg inkább üzletpolitikai okokból kapta a nevét, hiszen felépítésében lényegesen különbözik a többi GAL-tól, emiatt nem részletezem a működését. A legnyilvánvalóbb különbség a programozható VAGY mátrix megjelenése 14

16 az áramkörben, valamint a nagy elemsűrűség; az eszköz gyakorlatilag átmenet a PLA és a CPLD áramkörök között. Ahogy az ábrából is látszik, négyféle logikai makrocellát tartalmaz: Kimeneti (OLMC), ki- és bemeneti (IOLMC), bemeneti (ILMC), valamint a két programozható mátrix közti makrocellákat (BLMC). A beépített makrocellák Ábra GAL 6001 nagy száma, valamint a programozható VAGY mátrix léte nagy rugalmasságot ad az eszköznek, egyes esetekben két 22V10-est is kiválthat. Mivel minden makrocella egyegy D flip-flopot is tartalmaz, különösen a regisztert igénylő alkalmazásokban előnyös az alkalmazása. 2.2 ispgal-ok A 22V10-es típusnak létezik egy un. isp változata is. Az isp az In-System Programmable (= a rendszerben programozható) kifejezést takarja, azaz ezen eszközök programozásához nincs szükség külön programozó hardverre, a PLD az áramköri lapon bármikor újraprogramozható. Ennek a tulajdonságnak számos előnye van, a teljesség igénye nélkül: a fejlesztés gyorsul, hiszen a PLD-t nem kell eltávolítani az áramkörből a programozáshoz; lehetőség van többfunkciós, helyszínen átkonfigurálható áramkörök készítésére; a programozható logikába megfelelő tesztprogramot letöltve az áramkör gyorsan tesztelhetővé válik, csökkentve a karbantartási időt. 15

17 Az ispgal22v10 nem lábkompatíbilis a hagyományos 22V10 típussal, a programozhatósághoz ugyanis további négy láb található az eszközön. Ezek a Serial Data In (soros adatbemenet), Serial Data Out (soros adatkimenet), Serial Clock és Mode lábak. Lehetőség van arra, hogy adott áramkörben több - akár 100 darab - isp eszközt (amelyek lehetnek teljesen különböző típusúak - isplsi, ispgds, ispgal, MATCH - is) kössünk láncba a Serial Data In/Out lábakon keresztül, és ezeket egy műveletben programozzuk át. Egy ilyen kapcsolásra példa: A programozásra az ispdesignexpert programcsomag ispvm programja használható. Az ezt futtató PC a párhuzamos csatlakozik áramkörhöz. porton az Sajnos ezeket a lehetőségeket nem állt módomban kipróbálni a megfelelő eszközök (isp PLD, letöltőkábel) hiánya miatt. Az ispvm-nek letölthető egy beágyazott rendszerekbe szánt, C nyelvű forrása is, amely lehetővé teszi, hogy más platformokra - akár mikrokontrollerre, amely EPROM-ból olvassa ki az adott JEDEC fájlt - is átvihessük a programot Ábra Több isp eszköz kaszkád kapcsolása a programozáshoz 16

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 6. előadás: Vezérlő egységek II. - Programozható logikai eszközök Előadó: Dr. Vörösházi Zsolt

Részletesebben

Integrált áramkörök/5 ASIC áramkörök

Integrált áramkörök/5 ASIC áramkörök Integrált áramkörök/5 ASIC áramkörök Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/33 Mai témák Az integrált áramkörök felosztása Integrált áramkörök létrehozása Integrált áramkörök tervezése

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

TARTALOMJEGYZÉK. 1. BEVEZETÉS A logikai hálózatok csoportosítása Logikai rendszerek... 6

TARTALOMJEGYZÉK. 1. BEVEZETÉS A logikai hálózatok csoportosítása Logikai rendszerek... 6 TARTALOMJEGYZÉK ELŐSZÓ... 3 1. BEVEZETÉS... 4 1.1. A logikai hálózatok csoportosítása... 5 1.2. Logikai rendszerek... 6 2. SZÁMRENDSZEREK ÉS KÓDRENDSZEREK... 7 2.1. Számrendszerek... 7 2.1.1. Számok felírása

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába

Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába 4. kiadás (WebPACK 5.1i) Készítette: Farkas Szabolcs E-mail: szfarkas@chipcad.hu 2003. ChipCAD Elektronikai Disztribúció Kft. 1046 Budapest, Kiss

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

Kombinációs hálózatok Adatszelektorok, multiplexer

Kombinációs hálózatok Adatszelektorok, multiplexer Adatszelektorok, multiplexer Jellemző példa multiplexer és demultiplexer alkalmazására: adó egyutas adatátvitel vevő adatvezeték cím címvezeték (opcionális) A multiplexer az adóoldali jelvezetékeken jelenlévő

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel Rendszerspecifikáció BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel

Részletesebben

PLA és FPLA áramkörök

PLA és FPLA áramkörök Programozható logikai áramkörök PLA és FPLA áramkörök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

Magyar nyelvű szakelőadások a 2000-2001-es tanévben

Magyar nyelvű szakelőadások a 2000-2001-es tanévben Erdélyi Magyar Műszaki Tudományos Társaság Magyar nyelvű szakelőadások a 2000-2001-es tanévben Kolozsvári Műszaki Egyetem Számítástechnika Kar Szerzők dr. Baruch Zoltán Bíró Botond dr. Buzás Gábor dr.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

A PLÁ k programozhatóságát biztosító eszközök

A PLÁ k programozhatóságát biztosító eszközök Programozható logikai áramkörök A PLÁ k programozhatóságát biztosító eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Digitális rendszerek. Mikroarchitektúra szintje

Digitális rendszerek. Mikroarchitektúra szintje Digitális rendszerek Mikroarchitektúra szintje Mikroarchitektúra Jellemzők A digitális logika feletti szint Feladata az utasításrendszer-architektúra szint megalapozása, illetve megvalósítása Példa Egy

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Kívánalom: sok kapu kevés láb Kombinációs áramkörök efiníció: kimeneteket egyértelműen meghatározzák a pillanatnyi bemenetek Multiplexer: n vezérlő bemenet, 2 n adatbemenet, kimenet z egyik adatbemenet

Részletesebben

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3 Előadó: Dr. Oniga István DIGITÁLIS TEHNIK 3 Logikai függvények logikai függvény olyan egyenlőség, amely változói kétértékűek, és ezek között csak logikai műveleteket végzünk függvények megadása történhet

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor Ismerkedjünk tovább a számítógéppel Alaplap és a processzeor Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Logaritmikus erősítő tanulmányozása

Logaritmikus erősítő tanulmányozása 13. fejezet A műveleti erősítők Logaritmikus erősítő tanulmányozása A műveleti erősítő olyan elektronikus áramkör, amely a két bemenete közötti potenciálkülönbséget igen nagy mértékben fölerősíti. A műveleti

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Tantárgy: Szakmai gyakorlat Szakmai alapozó évfolyamok számára Összeállította: Farkas Viktor Bevezetés Az irányítástechnika felosztása Visszatekintés TTL CMOS integrált áramkörök

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK Irányítástechnika Az irányítás olyan művelet, mely beavatkozik valamely műszaki folyamatba annak: létrehozása (elindítása)

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

2. Elméleti összefoglaló

2. Elméleti összefoglaló 2. Elméleti összefoglaló 2.1 A D/A konverterek [1] A D/A konverter feladata, hogy a bemenetére érkező egész számmal arányos analóg feszültséget vagy áramot állítson elő a kimenetén. A működéséhez szükséges

Részletesebben

Foglalkozási napló a 20 /20. tanévre

Foglalkozási napló a 20 /20. tanévre Foglalkozási napló a 20 /20. tanévre Elektronikai műszerész szakma gyakorlati oktatásához OKJ száma: 34 522 03 A napló vezetéséért felelős: A napló megnyitásának dátuma: A napló lezárásának dátuma: Tanulók

Részletesebben

elektronikus adattárolást memóriacím

elektronikus adattárolást memóriacím MEMÓRIA Feladata A memória elektronikus adattárolást valósít meg. A számítógép csak olyan műveletek elvégzésére és csak olyan adatok feldolgozására képes, melyek a memóriájában vannak. Az információ tárolása

Részletesebben

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 A modul működése... 2 3 A CODEC konfigurációja... 3 4 Időzítési

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

Új kompakt X20 vezérlő integrált I/O pontokkal

Új kompakt X20 vezérlő integrált I/O pontokkal Új kompakt X20 vezérlő integrált I/O pontokkal Integrált flash 4GB belső 16 kb nem felejtő RAM B&R tovább bővíti a nagy sikerű X20 vezérlő családot, egy kompakt vezérlővel, mely integrált be és kimeneti

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

Dr. Oniga István. DIGITÁLIS TECHNIKA 10 Memóriák

Dr. Oniga István. DIGITÁLIS TECHNIKA 10 Memóriák Dr. Oniga István DIGITÁLIS TECHNIKA 10 Memóriák Memóriák Programot, és adatokat tárolnak D flip-flop egyetlen bit, a regiszter egy bináris szám tárolására alkalmasak Memóriák több számok tárolására alkalmasak

Részletesebben

1. A VHDL mint rendszertervező eszköz

1. A VHDL mint rendszertervező eszköz 1.1. A gépi tervezés A gépi leíró nyelvek (HDL) célja az egyes termékek egységesítése, logikai szimulációhoz leíró nyelv biztosítása, a terv hierarchikus felépítésének tükrözése és a nagy tervek áttekinthetővé

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A 2. gyakorlaton foglalkoztunk a 3-mal vagy 5-tel osztható 4 bites számok felismerésével. Abban a feladatban a bemenet bitpárhuzamosan, azaz egy időben minden adatbit

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei. kisszámítógépes rendszerekben. Kutató Intézet

Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei. kisszámítógépes rendszerekben. Kutató Intézet Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei Kutató Intézet kisszámítógépes rendszerekben Tudományos számításokban gyakran nagy mennyiségű aritmetikai művelet elvégzésére van

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A az energiaellátás minőségi jellemzőinek mérésére szolgáló szabadon programozható készülék. Épületfelügyeleti rendszerben (BMS), valamint önállóan

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Máté: Számítógép architektúrák 20100922 Programozható logikai tömbök: PLA (315 ábra) (Programmable Logic Array) 6 kimenet Ha ezt a biztosítékot kiégetjük, akkor nem jelenik meg B# az 1 es ÉS kapu bemenetén

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél A nagy áram meghajtó képességű IC-nél nagymértékben előjöhetnek a földvezetéken fellépő hirtelen áramváltozásból adódó problémák. Jelentőségükre

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben