Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél"

Átírás

1 Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél A nagy áram meghajtó képességű IC-nél nagymértékben előjöhetnek a földvezetéken fellépő hirtelen áramváltozásból adódó problémák. Jelentőségükre való tekintettel, ezzel külön is foglalkozom. Földzaj.1. Föld-pattogás (Ground Bounce) és csökkentése Nézzük egy digitális IC kimenetének a helyettesítő képét. A kapcsoló természetesen CMOS. táp csatlakozója táp csatlakozó szál induktivítása L VCC p csatornás FET jelkimenet induktivítása L OUT Kimenet n csatornás FET föld szál induktivítása L GND IC föld csatlakozó lába Földzaj.1. ábra. Egy digitális IC kimeneti áramkörének helyettesítő képe Az IC teljes árama átfolyik a földszálon. I Az áram változás: U L szerinti feszültség változást okoz. Következményeként az GND t L szinten (0,1 V) nyugalomban lévő kimenet potenciálja is megváltozik "elpattan" a földtől. Földzaj.3. ábra. Az eltérések nevesítése Földzaj.4. ábra. Földzaj /6

2 Be Ki (vezérelt) Vezéreletlen kimenetek 74AC240 Javítatlan IC Földzaj.2. ábra. Mérési összeállítás föld-pattogás vizsgálatához Be Vezérelt Ki 1,8 V Vezéreletlen Ki földpattogás Földzaj.3. ábra. Földpattogás kialakulásának szemléltetése A probléma csökkentésének módja az eddig leírt fizikai alapokon: - Az induktivitások csökkentése - A jelkimenet meredekségének csökkentése. A kimeneti meghajtóáramkörnél áramköri megoldással korlátozzák a jelváltási meredekséget. Nem engedik olyan gyorsan változni a jelet, mint amit a technológia lehetővé tesz. Ezt nevezik OEC = Output Edge Control = Kimeneti él szabályozó áramkörnek. (Texas Instrument) Földzaj.5. ábra. A Philips cég erre a problémára más áramköri kialakítást használ. Az OEC megoldáshoz a kimeneti meghajtót több, párhuzamosan kapcsolt térvezérlésű tranzisztorból építik fel. A párhuzamosan kapcsolt részeket késleltető áramkörök beiktatásával hajtják meg. Az áramgenerátor jellegű kimeneten így lassabban nő az áram. Nő a Δt, és ezzel csökken az indukált feszültség. Földzaj /6

3 V OH V OH Kapcsolt kimenetek Nem kapcsolt aktív alacsony kimenet V OLP-HL V OLP-LH V OL V OLV-HL V OLV-LH Földzaj.4. ábra. Vezéreletlen kimeneteken kialakuló földpattogás kialakulása és az eltérések megadásainak betűnevei. V CONTROL V CC V O T1 T2 T3 V GND Földzaj.5. ábra. Kimeneti jelmeredekség korlátozó áramkör elvi felépítése. A katalógusok a korszerű, gyors áramköröknél, pl. AC(T); AHC(T); FC(T) stb. és a technológiájuknak megfelelő programozható áramköröknél megadják a ground baunce maximális nagyságát. Pl. V olp < 0,8 V, a példa érték az LVT 125 áramkör adata. A föld bevezetés induktivitás csökkentésének lehetséges módjai az IC-n belül: - A tokozásban lévő láb és a félvezető között több szálat párhuzamosan kötnek. - Új tokozás kialakításával és több föld, illetve táp láb alkalmazásával. - A föld lábnak a tok legközebbi részére helyezésével. - A megoldásokra lásd a Földzaj.6. ábrát! Földzaj /6

4 táp föld táp föld táp föld Hagyományos tokozás Centrális föld PLCC tokozás, 20 lábú toknál elrendezés 24 lábú vagy más felület szerelt tok L föld 10 nh keskeny tokozásnál L legrövidebb/leghosszabb L föld 2,5 nh L legrövidebb/leghosszabb egy 40 lábú toknál az egész tokra maximum 1,44 1:20 is lehet Földzaj.6. ábra. Föld és táp láb bekötések különböző megoldásai az eltérő tokozási formáknál. A felületszerelés, a csökkentett tokméretek, és négy oldalas kivezetés miatt, itt is csökkenti a problémákat. Földzaj.2. A lógó CMOS bemenet által okozott probléma és kiküszöbölése a Bus-hold (sin állapot tartó) áramkörrel Mint eddigi tanulmányainkból tudjuk a sehova be nem kötött CMOS bemenet állapota, véletlenszerűen változik, ennek megfelelően kimenete is. Tri-state sínen, a harmadik állapotba vezérléskor, tulajdonképpen ebbe a nem meghatározott állapotba vezéreljük a sínen levő bemeneteket. Ennek a nem meghatározottságnak a következtében a CMOS áramkör begerjedhet, (Földzaj.7. ábra.) és akár túlzott disszipációba kergetve a tokot, túlmelegedés folytán tönkre is mehet. pl. HC04 sin (bus) NVEZ0 NVEZ1 Be 0 Be 1 Földzaj.7. ábra. Egy tri-state sín kialakítása. Segédábra a sínberezgés vizsgálatához. Földzaj /6

5 U BE 3V 1,5V U KI [t] U TÁP /2 Földzaj.8. ábra. Berezgés kialakulása kikapcsolt tri-state sín esetén. A probléma kialakulását segíti az előzőekben vázolt földpattogás jelensége is. A klasszikus megoldás: a tri-state sínt egy nagy értékű ellenállással lebegő állapotból elhúzzuk. Többnyire táp felé, de lehet föld felé is. [t] 47k 47k NVEZ0 VEZ1 vagy Földzaj.9. ábra. A tri-state sín lebegő állapotának megszüntetése elhúzással. Az ellenállás helyet kíván és energia felvételt jelent, ezenkívül meghibásodása esetén, pl. beforrasztási hiba, a probléma most már alattomosabb hiba formájában is előállhat. A probléma kiküszöbölése: A bemenetet az utolsó határozott vezérlésű állapotában megtartó áramkört integrálnak az IC bemenetére. Földzaj.10. ábra. Földzaj /6

6 fizikai bemenet ~ 1k bemeneti inverter tappancs ~ k föld bus- hold áramkör Földzaj.10. ábra. A bemenet lebegésének kivédésére szolgáló sínállapot-tartó áramkör felépítése Az áramkör működése: - Aktív állapotban az 1 k -s bemeneti ellenálláson keresztül a közös pont a sínen lévő meghajtásnak megfelelő állapotba kerül, és a visszacsatolás ezt az állapotot rögzíti. - Amikor a bemenet vezérlése nem meghatározott, vagyis a sín nagy impedanciás állapotban van, akkor a belső pont állapotát a visszacsatoló kör, a bus-hold áramkör tartja az előzőleg felvett logikai szinten. - A tartó áramkör a bemenő jel fel-lefutását kis mértékben laposabbá teszi, de ez ebben az esetben nem jelent problémát, sőt még előnyös is lehet. egyes katalógusok így jelölik a tartó áramkört Földzaj.3. Ellenőrző kérdések: Földzaj.11. ábra. 1. Mi az a Ground Bounce, és hogyan lehet védekezni ellene? 2. Miért szükséges a Bus-hold áramkör? Mutassa be a felépítését! Milyen megoldást lehet még használni helyette? 3. Mit nevezünk OEC nek. Rajzolja le a felépítését! Mi is a szerepe? Földzaj.4. Felhasznált irodalom: Digital Design Seminar Texas Instruments 1998 Földzaj /6

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

1. Visszacsatolás nélküli kapcsolások

1. Visszacsatolás nélküli kapcsolások 1. Visszacsatolás nélküli kapcsolások 1.1. Kösse az erõsítõ invertáló bemenetét a tápfeszültség 0 potenciálú kimenetére! Ezt nevezzük földnek. A nem invertáló bemenetre kösse egy potenciométer középsõ

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

Mérés és adatgyűjtés

Mérés és adatgyűjtés Mérés és adatgyűjtés 4. óra - levelező Mingesz Róbert Szegedi Tudományegyetem 2011. március 18. MA lev - 4. óra Verzió: 1.3 Utolsó frissítés: 2011. május 15. 1/51 Tartalom I 1 A/D konverterek alkalmazása

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján?

III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján? III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján? 2.) Mi a tiltott sáv fogalma? 3.) Hogyan befolyásolja a tiltott sáv szélessége az anyagok

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Lineáris és kapcsoló üzemű feszültség növelő és csökkentő áramkörök

Lineáris és kapcsoló üzemű feszültség növelő és csökkentő áramkörök Lineáris és kapcsoló üzemű feszültség növelő és csökkentő áramkörök Buck, boost konverter Készítette: Támcsu Péter, 2016.10.09, Debrecen Felhasznált dokumentum : Losonczi Lajos - Analog Áramkörök 7 Feszültség

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Műveleti erősítők - Bevezetés

Műveleti erősítők - Bevezetés Analóg és digitális rsz-ek megvalósítása prog. mikroák-kel BMEVIEEM371 Budapesti Műszaki és Gazdaságtudományi Egyetem Műveleti erősítők - Bevezetés Takács Gábor Elektronikus Eszközök Tanszéke (BME) 2014.

Részletesebben

Led - mátrix vezérlés

Led - mátrix vezérlés Led - mátrix vezérlés Készítette: X. Y. 12.F Konzulens tanár: W. Z. Led mátrix vezérlő felépítése: Mátrix kijelzőpanel Mikrovezérlő panel Működési elv: 1) Vezérlőpanel A vezérlőpanelen található a MEGA8

Részletesebben

Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1.

Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1. Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI 8 1.1 AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1.2 AZ ELEKTROMOS TÉR 9 1.3 COULOMB TÖRVÉNYE 10 1.4 AZ ELEKTROMOS

Részletesebben

Bevezetés a méréstechnikába és jelfeldolgozásba. Tihanyi Attila április 17.

Bevezetés a méréstechnikába és jelfeldolgozásba. Tihanyi Attila április 17. Bevezetés a méréstechnikába és jelfeldolgozásba Tihanyi Attila 2007. április 17. ALAPOK Töltés 1 elektron töltése 1,602 10-19 C 1 C (coulomb) = 6,24 10 18 elemi elektromos töltés. Áram Feszültség I=Q/t

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Diszkrét aktív alkatrészek és egyszerû alkalmazásaik. Elmélet A diszkrét aktív elektronikai alkatrészek (dióda, különbözõ tranzisztorok, tirisztor) elméleti

Részletesebben

feszültség konstans áram konstans

feszültség konstans áram konstans Szélessávú Hírközlés és Villamosságtan Tanszék Űrtechnológia laboratórium Szabó József Egyszerű feszültség és áramszabályozó Űrtechnológia a gyakorlatban Budapest, 2014. április 10. Űrtetechnológia a gyakorlatban

Részletesebben

FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás

FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás A tranzisztor felfedezése A tranzisztor kifejlesztését a Lucent Technologies kutatóintézetében, a Bell Laboratóriumban végezték el. A laboratóriumban három

Részletesebben

TB6600 V1 Léptetőmotor vezérlő

TB6600 V1 Léptetőmotor vezérlő TB6600 V1 Léptetőmotor vezérlő Mikrolépés lehetősége: 1, 1/2, 1/4, 1/8, 1/16. A vezérlő egy motor meghajtására képes 0,5-4,5A között állítható motoráram Tápellátás: 12-45V közötti feszültséget igényel

Részletesebben

Elektronika alapjai. Témakörök 11. évfolyam

Elektronika alapjai. Témakörök 11. évfolyam Elektronika alapjai Témakörök 11. évfolyam Négypólusok Aktív négypólusok. Passzív négypólusok. Lineáris négypólusok. Nemlineáris négypólusok. Négypólusok paraméterei. Impedancia paraméterek. Admittancia

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

IRC beüzemelése Mach3-hoz IRC Frekvenciaváltó vezérlő áramkör Inverter Remote Controller

IRC beüzemelése Mach3-hoz IRC Frekvenciaváltó vezérlő áramkör Inverter Remote Controller IRC beüzemelése Mach3-hoz IRC Frekvenciaváltó vezérlő áramkör Inverter Remote Controller A PicoPower család tagja 2012-10-19 A Pico IRC használatával szoftverből állíthatjuk a frekvenciaváltóval vezérelt

Részletesebben

Logaritmikus erősítő tanulmányozása

Logaritmikus erősítő tanulmányozása 13. fejezet A műveleti erősítők Logaritmikus erősítő tanulmányozása A műveleti erősítő olyan elektronikus áramkör, amely a két bemenete közötti potenciálkülönbséget igen nagy mértékben fölerősíti. A műveleti

Részletesebben

Ref.1 Reflexiók, áthallások és az ellenük való védekezés. Ref.1.1. Reflexió csökkentése (megakadályozása)

Ref.1 Reflexiók, áthallások és az ellenük való védekezés. Ref.1.1. Reflexió csökkentése (megakadályozása) Ref.1 Reflexiók, áthallások és az ellenük való védekezés A lezáratlan vezeték végekről a jelek visszaverődnek, majd az adó oldalon újra reflexiót szenvednek. Lásd a villamosságtanban tanultakat. 1 A reflexió

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

Alapvető információk a vezetékezéssel kapcsolatban

Alapvető információk a vezetékezéssel kapcsolatban Alapvető információk a vezetékezéssel kapcsolatban Néhány tipp és tanács a gyors és problémamentes bekötés érdekében: Eszközeink 24 V DC tápellátást igényelnek. A Loxone link maximum 500 m hosszan vezethető

Részletesebben

Az erősítés frekvenciafüggése: határfrekvenciák meghatározása ELEKTRONIKA_2

Az erősítés frekvenciafüggése: határfrekvenciák meghatározása ELEKTRONIKA_2 Az erősítés frekvenciafüggése: határfrekvenciák meghatározása ELEKTRONIKA_2 TEMATIKA A kapacitív ellenállás. Váltakozó áramú helyettesítő kép. Alsó határfrekvencia meghatározása. Felső határfrekvencia

Részletesebben

M ű veleti erő sítő k I.

M ű veleti erő sítő k I. dátum:... a mérést végezte:... M ű veleti erő sítő k I. mérési jegyző könyv 1. Visszacsatolás nélküli kapcsolások 1.1. Kösse az erősítő invertáló bemenetét a tápfeszültség 0 potenciálú kimenetére! Ezt

Részletesebben

Áramgenerátorok alapeseteinek valamint FET ekkel és FET bemenetű műveleti erősítőkkel felépített egyfokozatú erősítők vizsgálata.

Áramgenerátorok alapeseteinek valamint FET ekkel és FET bemenetű műveleti erősítőkkel felépített egyfokozatú erősítők vizsgálata. El. II. 4. mérés. 1. Áramgenerátorok bipoláris tranzisztorral A mérés célja: Áramgenerátorok alapeseteinek valamint FET ekkel és FET bemenetű műveleti erősítőkkel felépített egyfokozatú erősítők vizsgálata.

Részletesebben

ELEKTRONIKA I. (KAUEL11OLK)

ELEKTRONIKA I. (KAUEL11OLK) Félévi követelmények és beadandó feladatok ELEKTRONIKA I. (KAUEL11OLK) tárgyból a Villamosmérnöki szak levelező tagozat hallgatói számára Óbuda Budapest, 2005/2006. Az ELEKTRONIKA I. tárgy témaköre: Az

Részletesebben

Nyomtatóport szintillesztő

Nyomtatóport szintillesztő Nyomtatóport szintillesztő Az alábbi nyomtatóport kártya lehetővé teszi a nyomtató porthoz való kényelmes, egyszerű hozzáférést, a jelszintek illesztett megvalósítása mellett. A ki- és bemenetek egyaránt

Részletesebben

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak?

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? Ellenörző kérdések: 1. előadás 1/5 1. előadás 1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? 2. Mit jelent a föld csomópont, egy áramkörben hány lehet belőle,

Részletesebben

Teljesítményerősítők ELEKTRONIKA_2

Teljesítményerősítők ELEKTRONIKA_2 Teljesítményerősítők ELEKTRONIKA_2 TEMATIKA Az emitterkövető kapcsolás. Az A osztályú üzemmód. A komplementer emitterkövető. A B osztályú üzemmód. AB osztályú erősítő. D osztályú erősítő. 2012.04.18. Dr.

Részletesebben

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A az energiaellátás minőségi jellemzőinek mérésére szolgáló szabadon programozható készülék. Épületfelügyeleti rendszerben (BMS), valamint önállóan

Részletesebben

Nyomtatóport szintillesztő 3V3

Nyomtatóport szintillesztő 3V3 Nyomtatóport szintillesztő 3V3 A 3V3-as verziójú illesztő kártya lehetővé teszi a nyomtató porthoz vagy az UC300-hoz való kényelmes, egyszerű hozzáférést, a jelszintek illesztett megvalósítása mellett.

Részletesebben

Elektronika Előadás. Műveleti erősítők felépítése, ideális és valós jellemzői

Elektronika Előadás. Műveleti erősítők felépítése, ideális és valós jellemzői Elektronika 2 1. Előadás Műveleti erősítők felépítése, ideális és valós jellemzői Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch. Schenk: Analóg és digitális áramkörök,

Részletesebben

Műveleti erősítők. Előzetes kérdések: Milyen tápfeszültség szükséges a műveleti erősítő működtetéséhez?

Műveleti erősítők. Előzetes kérdések: Milyen tápfeszültség szükséges a műveleti erősítő működtetéséhez? Műveleti erősítők Előzetes kérdések: Milyen tápfeszültség szükséges a műveleti erősítő működtetéséhez? Milyen kimenő jel jelenik meg a műveleti erősítő bemeneteire adott jel hatására? Nem invertáló bemenetre

Részletesebben

Elektronika Előadás. Műveleti erősítők táplálása, alkalmazása, alapkapcsolások

Elektronika Előadás. Műveleti erősítők táplálása, alkalmazása, alapkapcsolások Elektronika 2 2. Előadás Műveleti erősítők táplálása, alkalmazása, alapkapcsolások Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch. Schenk: Analóg és digitális áramkörök,

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Teljesítmény-erősítők. Elektronika 2.

Teljesítmény-erősítők. Elektronika 2. Teljesítmény-erősítők Elektronika 2. Az erősítés elve Erősítés: vezérelt energia-átalakítás Vezérlő teljesítmény: Fogyasztó teljesítmény-igénye: Tápforrásból felvett teljesítmény: Disszipálódott teljesítmény:

Részletesebben

Az 555-ös időzítő használata a mikrokontrolleres tervezésben

Az 555-ös időzítő használata a mikrokontrolleres tervezésben Az 555-ös időzítő használata a mikrokontrolleres tervezésben Nagy Gergely BME EET 01. április 4. ebook ready Bevezetés Az 555-ös IC-t Hans Camenzind tervezte 1971-ben a Signetics (ma Philips) munkatársaként.

Részletesebben

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató ÓBUDAI EGYETEM Kandó Kálmán Villamosmérnöki Kar Híradástechnika Intézet Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató A mérést végezte: Neptun kód: A mérés időpontja: A méréshez szükséges eszközök:

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés

Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés A gyakorlat célja Egyenáramú szervo motorral vezérelt egyszabadságfokú mechanikai rendszer meghajtó áramkörének és a NATIONAL INSTRUMENTS

Részletesebben

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő Műveleti erősítők A műveleti erősítők egyenáramú erősítőfokozatokból felépített, sokoldalúan felhasználható áramkörök, amelyek jellemzőit A u ', R be ', stb. külső elemek csatlakoztatásával széles határok

Részletesebben

Vegyes témakörök. A KAT120B kijelző vezérlése Arduinoval

Vegyes témakörök. A KAT120B kijelző vezérlése Arduinoval Vegyes témakörök A KAT120B kijelző vezérlése Arduinoval 1 KAT120B hívószám kijelző A KAT120B kijelző a NEMO-Q International AB egy régi terméke. A cég ma is fogalmaz különféle hívószám kijelzőket bankok,

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

DIÓDÁS ÉS TIRISZTOROS KAPCSOLÁSOK MÉRÉSE

DIÓDÁS ÉS TIRISZTOROS KAPCSOLÁSOK MÉRÉSE M I S K O C I E G Y E T E M GÉPÉSZMÉNÖKI ÉS INFOMATIKAI KA EEKTOTECHNIKAI ÉS EEKTONIKAI INTÉZET Összeállította D. KOVÁCS ENŐ DIÓDÁS ÉS TIISZTOOS KAPCSOÁSOK MÉÉSE MECHATONIKAI MÉNÖKI BSc alapszak hallgatóinak

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest?

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Név: Logikai kapuk Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Ha a logikai változókat állású kapcsolókkal helyettesítené, ezek milyen módon való kapcsolásával

Részletesebben

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók. Megoldás Digitális technika II. (vimia111) 1. gyakorlat: Digit alkatrészek tulajdonságai, funkcionális elemek (MSI) szerepe, multiplexer, demultiplexer/dekóder Elméleti anyag: Digitális alkatrészcsaládok

Részletesebben

Mérési utasítás. P2 150ohm. 22Kohm

Mérési utasítás. P2 150ohm. 22Kohm Mérési utasítás A mérés célja: Tranzisztorok és optocsatoló mérésén keresztül megismerkedni azok felhasználhatóságával, tulajdonságaival. A mérés során el kell készíteni különböző félvezető alkatrészek

Részletesebben

Elektronika 2. TFBE1302

Elektronika 2. TFBE1302 Elektronika 2. TFBE1302 Mérőműszerek Analóg elektronika Feszültség és áram mérése Feszültségmérő: V U R 1 I 1 igen nagy belső ellenállású mérőműszer párhuzamosan kapcsolandó a mérendő alkatrésszel R 3

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk egyenáramú jellemzése és alkalmazásai. Elmélet Az erõsítõ fogalmát valamint az integrált mûveleti erõsítõk szerkezetét és viselkedését

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2008. október 20. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2008. október 20. 1:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS

Részletesebben

Összefüggő szakmai gyakorlat témakörei

Összefüggő szakmai gyakorlat témakörei Összefüggő szakmai gyakorlat témakörei Villamosipar és elektronika ágazat Elektrotechnika gyakorlat 10. évfolyam 10 óra Sorszám Tananyag Óraszám Forrasztási gyakorlat 1 1.. 3.. Forrasztott kötés típusai:

Részletesebben

Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások

Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások Egyenirányítás: egyenáramú komponenst nem tartalmazó jelből egyenáramú összetevő előállítása. Nemlineáris áramköri elemet tartalmazó

Részletesebben

SYS700-DIDO-HFR Digitális szabadon programozható szabályozó (Digitális be- és kimenettel) Szabályozók és vezérlõk

SYS700-DIDO-HFR Digitális szabadon programozható szabályozó (Digitális be- és kimenettel) Szabályozók és vezérlõk KIVITEL ALKALMAZÁS, ILLESZTHETÕSÉG A SYS700-DIDO-HFR a Dialog-III készülékcsalád digitális jelek kezelésére alkalmas tagja, amely kifejezetten hő- és füstelvezetési vezérlési feladatok ellátására lett

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Ideális műveleti erősítő

Ideális műveleti erősítő Ideális műveleti erősítő Az műveleti erősítő célja, hogy alap építőeleméül szolgáljon analóg matematikai műveleteket végrehajtó áramköröknek. Az ideális műveleti erősítő egy gyakorlatban nem létező áramköri

Részletesebben

Szimmetrikus bemenetű erősítők működésének tanulmányozása, áramköri paramétereinek vizsgálata.

Szimmetrikus bemenetű erősítők működésének tanulmányozása, áramköri paramétereinek vizsgálata. El. II. 5. mérés. SZIMMETRIKUS ERŐSÍTŐK MÉRÉSE. A mérés célja : Szimmetrikus bemenetű erősítők működésének tanulmányozása, áramköri paramétereinek vizsgálata. A mérésre való felkészülés során tanulmányozza

Részletesebben

HSS86 ( ) típusú léptetőmotor meghajtó

HSS86 ( ) típusú léptetőmotor meghajtó HSS86 (93.034.028) típusú léptetőmotor meghajtó Jellemzők Teljesen zárt kör Alacsony motorzaj Alacsony meghajtó és motormelegedés Gyors válaszidő, nagy motorsebesség Optikailag leválasztott ki és bemenetek

Részletesebben

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák Az inverter, alapfogalmak Kiürítéses típusú MOS inverter Kapuáramkörök kialakítása

Részletesebben

Hármas tápegység Matrix MPS-3005L-3

Hármas tápegység Matrix MPS-3005L-3 Hármas tápegység Matrix MPS-3005L-3 Általános leírás Az MPS-3005L-3 tápegység egy fix 5V-os, 3A-rel terhelhető és két 0V-30V-között változtatható,legfeljebb 5A-rel terhelhető kimenettel rendelkezik. A

Részletesebben

Versenyző kódja: 7 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 7 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2017 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási,

Részletesebben

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen,

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen, MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc Debrecen, 2017. 01. 03. Név: Neptun kód: Megjegyzések: A feladatok megoldásánál használja a géprajz szabályait, valamint a szabványos áramköri elemeket.

Részletesebben

Dáfj.1. (Félvezető alapú) Digitális áramkörök fizikai jellemzői

Dáfj.1. (Félvezető alapú) Digitális áramkörök fizikai jellemzői Dáfj.1. (Félvezető alapú) Digitális áramkörök fizikai jellemzői A digitális áramköröknél a logikai szintek részben a tápfeszültség, részben a méretezésből adódó értéktartományokat képviselnek. Ezen értéktartományoknak

Részletesebben

Elektronika I. Gyakorló feladatok

Elektronika I. Gyakorló feladatok Elektronika I. Gyakorló feladatok U I Feszültséggenerátor jelképe: Áramgenerátor jelképe: 1. Vezesse le a terheletlen feszültségosztóra vonatkozó összefüggést: 2. Vezesse le a terheletlen áramosztóra vonatkozó

Részletesebben

Gingl Zoltán, Szeged, dec. 1

Gingl Zoltán, Szeged, dec. 1 Gingl Zoltán, Szeged, 2017. 17 dec. 1 17 dec. 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó irányban tökéletes vezető (rövidzár) Záró irányban tökéletes szigetelő (szakadás) Valódi dióda:

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 4. Logikai kapuáramkörök Felhasznált irodalom Dr. Gárdus Zoltán: Digitális rendszerek szimulációja Mádai László: Logikai alapáramkörök BME FKE: Logikai áramkörök Colin Mitchell:

Részletesebben

MUST 30-120. Három fázisú Moduláris UPS. A moduláris UPS előnyei már mindenki számára elérhetőek

MUST 30-120. Három fázisú Moduláris UPS. A moduláris UPS előnyei már mindenki számára elérhetőek MUST 30-120 Három fázisú Moduláris UPS A moduláris UPS előnyei már mindenki számára elérhetőek MUST30-120 A MUST 30/120 termékcsalád egy szünetmentes áramellátó rendszer, három fázisú be- illetve kimenettel,

Részletesebben

Elektronikus dobókocka

Elektronikus dobókocka Elektronikus dobókocka I. Feladat: egy olyan készülék elkészítése, amely a különféle játékokban használatos dobókockát helyettesíti. II. Gyakorlati megvalósítása: Az elektronikus dobókocka szerkezetileg

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET)

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) Hobbi Elektronika Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) 1 Felhasznált irodalom Sulinet Tudásbázis: Unipoláris tranzisztorok Electronics Tutorials: The MOSFET CONRAD Elektronik: Elektronikai

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

HSS60 ( ) típusú léptetőmotor meghajtó

HSS60 ( ) típusú léptetőmotor meghajtó HSS60 (93.034.027) típusú léptetőmotor meghajtó Jellemzők Teljesen zárt kör Alacsony motorzaj Alacsony meghajtó és motormelegedés Gyors válaszidő, nagy motorsebesség Optikailag leválasztott ki és bemenetek

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

VDCU használati utasítás

VDCU használati utasítás VDCU használati utasítás A VDCU a 2 vezetékes Futura Digital rendszerhez tervezett többfunkciós eszköz. 2 db CCTV kamera csatlakoztatható felhasználásával a rendszerhez, továbbá világítás vagy zárnyitás

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

Gingl Zoltán, Szeged, :44 Elektronika - Diódák, tranzisztorok

Gingl Zoltán, Szeged, :44 Elektronika - Diódák, tranzisztorok Gingl Zoltán, Szeged, 2016. 2016. 12. 13. 7:44 Elektronika - Diódák, tranzisztorok 1 2016. 12. 13. 7:44 Elektronika - Diódák, tranzisztorok 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó

Részletesebben

Az N csatornás kiürítéses MOSFET jelleggörbéi.

Az N csatornás kiürítéses MOSFET jelleggörbéi. SZIGETELT VEZÉRLİELEKTRÓDÁS TÉRVEZÉRLÉSŐ TRANZISZTOR (MOSFET) A MOSFET-nek (Metal Oxide Semiconductor, fém-oxid-félvezetı) két alaptípusa a kiürítéses és a növekményes MOSFET. Mindkét típusból készítenek

Részletesebben

Mûveleti erõsítõk I.

Mûveleti erõsítõk I. Mûveleti erõsítõk I. 0. Bevezetés - a mûveleti erõsítõk mûködése A következõ mérésben az univerzális analóg erõsítõelem, az un. "mûveleti erõsítõ" mûködésének alapvetõ ismereteit sajátíthatjuk el. A nyílthurkú

Részletesebben

Az 555-ös időzítő használata a mikrokontrolleres tervezésben

Az 555-ös időzítő használata a mikrokontrolleres tervezésben Analóg és digitális rsz-ek megvalósítása prog. mikroák-kel BMEVIEEM371 Budapesti Műszaki és Gazdaságtudományi Egyetem Az 555-ös időzítő használata a mikrokontrolleres tervezésben Nagy Gergely Elektronikus

Részletesebben

28. EGYSZERŰ DIGITÁLIS ÁRAMKÖRÖK

28. EGYSZERŰ DIGITÁLIS ÁRAMKÖRÖK 28. EGYSZERŰ DIGITÁLIS ÁRMKÖRÖK Célkitűzés: z egyszerű kombinációs digitális áramkörök elvi alapjainak, valamint ezek néhány gyakorlati alkalmazásának megismerése. I. Elméleti áttekintés digitális eszközök

Részletesebben

1 csűrő 1 csűrő 2 magassági 2 magassági 3 gáz 3 gáz 4 oldalkormány 4 oldalkormány 5 Robot üzemmód 5 csűrő

1 csűrő 1 csűrő 2 magassági 2 magassági 3 gáz 3 gáz 4 oldalkormány 4 oldalkormány 5 Robot üzemmód 5 csűrő RC csatlakozók A csatlakozók kiosztása. Figyelem, a Gnd (föld, fekete) tüskéi felül vannak! RC input RC output 1 2 3 4 5 1 2 3 4 5 SP Gnd 5V Signal A robot 5 tel (RC input) és 5 tel (RC output) rendelkezik.

Részletesebben

Iványi László ARM programozás. Szabó Béla 6. Óra ADC és DAC elmélete és használata

Iványi László ARM programozás. Szabó Béla 6. Óra ADC és DAC elmélete és használata ARM programozás 6. Óra ADC és DAC elmélete és használata Iványi László ivanyi.laszlo@stud.uni-obuda.hu Szabó Béla szabo.bela@stud.uni-obuda.hu Mi az ADC? ADC -> Analog Digital Converter Analóg jelek mintavételezéssel

Részletesebben

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT E3NT Tárgyreflexiós érzékelõ háttér- és elõtér elnyomással 3 m-es érzékelési távolság (tárgyreflexiós) 16 m-es érzékelési távolság (prizmás) Analóg kimenetes típusok Homloklapfûtéssel ellátott kivitelek

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

2.Előadás ( ) Munkapont és kivezérelhetőség

2.Előadás ( ) Munkapont és kivezérelhetőség 2.lőadás (207.09.2.) Munkapont és kivezérelhetőség A tranzisztorokat (BJT) lineáris áramkörbe ágyazva "működtetjük" és a továbbiakban mindig követelmény, hogy a tranzisztor normál aktív tartományban működjön

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

ems2.cp04d [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL

ems2.cp04d [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL ems2.cp04d Felhasználás Az ems2.cp04d egy szabadon programozható

Részletesebben

1.sz melléklet Nyári gyakorlat teljesítésének igazolása Hiányzások

1.sz melléklet Nyári gyakorlat teljesítésének igazolása Hiányzások 1.sz melléklet Nyári gyakorlat teljesítésének igazolása Hiányzások - Az összefüggő szakmai gyakorlatról hiányozni nem lehet. Rendkívüli, nem tervezhető esemény esetén az igazgatóhelyettest kell értesíteni.

Részletesebben

Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor

Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor 4. félév Óraszám: 2+2 1 I. RÉSZ A DIGITÁLIS ÁRAMKÖRÖK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Általános témák, amelyek vonatkoznak az SSI, MSI, LSI és

Részletesebben

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás:

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás: DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A SYS00-A a Dialog-III készülékcsalád analóg jelek kezelésére alkalmas tagja, amely kifejezetten épületgépészeti szabályozási és vezérlési feladatok

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 6. Feladatsor: Egyszerű tranzisztoros kapcsolások Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tranziens (átmeneti) jelenségek Az előzőekben csupán az

Részletesebben

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök 4. hét: Ideális és valódi építőelemek Steiner Henriette Egészségügyi mérnök Digitális technika 2015/2016 Digitális technika 2015/2016 Bevezetés Az ideális és valódi építőelemek Digitális technika 2015/2016

Részletesebben

Tápegység tervezése. A felkészüléshez szükséges irodalom Alkalmazandó műszerek

Tápegység tervezése. A felkészüléshez szükséges irodalom  Alkalmazandó műszerek Tápegység tervezése Bevezetés Az elektromos berendezések működéséhez szükséges energiát biztosító források paraméterei gyakran különböznek a berendezés részegységeinek követelményeitől. A megfelelő paraméterű

Részletesebben

<mérésvezető neve> 8 C s z. 7 U ki TL082 4 R. 1. Neminvertáló alapkapcsolás mérési feladatai

<mérésvezető neve> 8 C s z. 7 U ki TL082 4 R. 1. Neminvertáló alapkapcsolás mérési feladatai MÉRÉSI JEGYZŐKÖNYV A mérés tárgya: Egyszerű áramkör megépítése és bemérése (1. mérés) A mérés időpontja: 2004. 02. 10 A mérés helyszíne: BME, labor: I.B. 413 A mérést végzik: A Belso Zoltan B Szilagyi

Részletesebben

Nyomtatóport szintillesztő 4V2

Nyomtatóport szintillesztő 4V2 Nyomtatóport szintillesztő 4V2 A 4V2-es verziójú illesztő kártya tökéletes választás, ha sok bemenetre van szükségünk. Akár PC-hez, akár UC300-hoz is csatlakoztathatjuk, a földfüggetlen bemenetek pedig

Részletesebben

2-VEZETÉKES KAPUTELEFON RENDSZER Kameraillesztő. VDCU Felhasználói és telepítői kézikönyv VDCU. VDCU Leírás v1.0.pdf

2-VEZETÉKES KAPUTELEFON RENDSZER Kameraillesztő. VDCU Felhasználói és telepítői kézikönyv VDCU. VDCU Leírás v1.0.pdf 2-VEZETÉKES KAPUTELEFON RENDSZER Kameraillesztő VDCU Felhasználói és telepítői kézikönyv VDCU VDCU Leírás v1.0.pdf Tartalomjegyzék 1 Készülék felépítése...3 2 Műszaki paraméterek...3 3 DIP kapcsolók beállítása...4

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben