PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István"

Átírás

1 Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest, 1987 Gál T.: Programozható logikák BME - tankönyvkiadó, P. Ammon: Kapumátrix-áramkörök, Műszaki könyvkiadó, 1989.

2 PAL eszközök: PAL = Programmable Array Logic A programozható tömb logika (PAL) elnevezés az AMD cég regisztrált védjegye. A strukturális felépítés (amint az előző előadásokból is részben kiderült) nagyon hasonlít a PLA ékhoz, azzal az alapvető eltéréssel, hogy a PAL-ok ÉS mátrixa progragmozható, de a VAGY mátrixa nem. További megszorítás, hogy a gyakorlatban használt PAL okban az egy kimenethez tartozó szorzattagok száma korlátozott (lásd ábra: 8 bemenet). Ezeknek a következménye: 1. PAL-ok gyorsabbak (vagy kapuk nem programozhatók, gyárilag gyorsak) 2. Csak Kevés szorzattagot képviselő függvények realizálhatóak (korlátozott bemenetek) 3. Egyfajta korlátozás az is, hogy csak az ÉS kapuk programozhatóak.

3 A gyakorlatban a PAL ok típusmegjelölése: PAL aa b cc aa: az ÉS mátrix max. bemeneteinek száma b: a kimenet típusának definiálása: H aktív magas L aktív alacsony P programozható polaritású C komplemens Regiszteres kimenetű PAL ok esetében: R regiszteres kimenet RP regiszteres programozható polaritású kimenet V fexibilis, azaz programozható makrocellás kimenet cc: dedikált vagy programozott kimenetek maximális száma

4 PAL eszközök példa1: Példaként elemezzük ki egy konkrétan adott PAL eszköz felépítését és működését: PAL 16L ÉS mátrix bemeneteinek száma (egy változó ponált és negált bemeneteinek száma egy bemenetnek számít, illetve az ÉS mátrix bemeneteibe a kimenetről visszacsatolt jelek is beszámítanak). Esetünkben 10 csak bemenetként használható (ún. dedikált) bemenet bement van, és 8 kimenet közül, 6 vissza van csatolva az ÉS mátrix bementére. Ezeket a visszacsatolt részeket be/ki meneteknek (pontosabban programozható I/O -knak) nevezik, mivel a TS elemek programozásával tudjuk bementre ill. kimenetre változtatni őket. 2. A 8 kimenet közül 2 csak kimenetként használható. Vagyis a dedikált I/O k szemszögéből: 10 dedikált bement, 2 dedikált kimenet, 6 programozható I/O. 3. A belső kapcsolásból az is látható, hogy kimenetenként max. 7 szorzattag lehetséges. A regiszteres PAL ok a kimenetükön D FlipFlop -os regisztert tartalmaznak, melyek közös órajelre működnek (pl.: CP jel. Megj.: működhetnek egymástó független órajelre is.), így szinkronizált regiszteres PAL oknak nevezik ezeket az áramköröket. Néhány AMD, PAL áramkör jellemző adatai Típus Technológia Tpd (ns) Icc (ma) Fmax (MHz) PAL 16L8-4 TTL 4, PAL 22P10B TTL 15, PALCE 16V8H EECMOS 5, PALCE 26V12H EECMOS 7,

5 A PAL 16L8 eszköz belső felépítése: Dedikált bemenetek: Dedikált kimenetek: 12, 19 Kimenetek: Visszacsatolt kimenetek: Programozható I/O-k ÉS kapuk: ÉS kapuba csatlakozó szorzattagok: (7 szorzattag, 1 vezérlés)

6 A PAL 16L8 eszköz Gyakorlat-1

7 A PAL 16L8 eszköz Gyakorlat-2, kimenetek

8 A PAL 16L8 eszköz Gyakorlat-3 mintapélda I/O hozzárendelés

9 A PAL 16L8 eszköz Gyakorlat-4 JEDEC fájl A hasznos program csak a *-gal jelölt soroknál kezdődik. További fontos sorok az L illetve V sorok.

10 A PAL 16L8 eszköz Gyakorlat-5 feladat Antivalencia Y AB AB Ekvivalencia Y AB AB De!!!!!! Antivalencia Ekvivalencia

11 A PAL 16L8 eszköz Gyakorlat-6 biztosítékmátrix, JEDEC program I/O hozzárendelés:

12 GAL eszközök-1: GAL = General Array Logic Ahogy a PLA és FPLA eszközöknél rávezetésképpen megnéztünk egy több-kimenetes kombinációs hálózatot, úgy a GAL eszközöknél elmondhatjuk, hogy a PLA/FPLA eszközök valójában rávezetés a GAL eszközökre. A GAL általánosan programozható logikai mezőt jelent, vagyis a programozhatósági feltételek egyre kevésbé kötöttek. Ez igaz, hogy szélesebb lehetőségekkel jár, de viszont komplikáltabb programozással is. Mint ahogy a PAL eszközöknél elmondtuk, hogy az AMD cég termékei, úgy a GAL okról elmondhatjuk, hogy többnyire a LATTICE cég termékei. (Persze további cégek is, INTEL, Naational Semiconductor,, gyártanak GAL eszközöket) A GAL áramkörökről elmondható, hogy a PAL eszközök továbbfejlesztett változatai, mivel az ÉS mátrix programozhatósága mellett rendelkezik egy programozható, összetett kimeneti áramkörrel is, amit makro-cellának hívnak. A továbbiakban, a működés és az architektúra bemutatásánál, itt is egy adott áramkörre szorítkozunk majd: GAL CELLV10 Az eszköznek 12 bemenete van és ezek mindegyike becsatlakozik az ÉS mátrixba, melyekből az I 0 bemenet a makro-cellákban található órajel-bemenetként használható. Az eszköznek 10 kimenet, pontosabban I/O kimeneti makro-cellája van. Fontos tudni, hogy az egyes makrocellákhoz csatlakozó szorzattagok száma nem azonos: (lásd táblázat). Kimeneti Makro-cella Csatlakozó szorzattagok száma

13 A GALCELLV10 architektúrája: Órajel bemenet, mely a makrocellák órajel bemenete is. Bemenetek: (11 bemenet) Programozható ÉS mátrix (44 x 132) keresztponttal Kimeneti makro-cellákhoz csatlakozó szorzattagok (VAGY kapuba csatlakoznak), melyek makro-cellaként különböznek, (lásd előző táblázat). A 10 kimeneti makro-cella A bementként használt makro-cellák bemenetei:

14 GAL eszközök -ki/be menetek: Ha összeadjuk ezeket a szorzattagokat, =120. Azonban, mivel minden kimeneti puffer engedélyezése egy-egy szorzattaggal történik, és az aszinkron törlőjelet is egy-egy szorzattag állítja elő, így a szorzattagok száma: =132. Továbbá az ÉS mátrixba a 12 dedikált bemeneten kívül, minden kimeneti makro-cellából is becsatlakozik egy-egy visszacsatolás (vagyis+10 bemenet), ebből megállapítható az ÉS mátrix mérete (vagyis keresztpontjainak száma): [2x(12+10) X132], vagyis: 44 X 132 (lásd blokkábra). A makro-cella a programozástól függően használható: Bemenetként, kombinációs kimenetként, Regiszteres kimenetként. A programozása az S 0, S 1 vezérlővonalakkal történi, az alábbiak szerint (lásd táblázat) S 0 S 1 Értelmezés 0 0 Regiszteres, aktív alacsony 0 1 Regiszteres, aktív magas 1 0 Kombinációs, aktív alacsony 1 1 Kombinációs, aktív magas

15 A GAL makro-cella felépítése: Makro-cella Az S 0, S 1 vezérlők által programozható 4 féle állapot szemléltetése: S0/S1 0/0 S0/S1 0/1 S0/S1 1/0 S0/S1 1/1

16 Pihenésképpen oldjunk meg egy multiplexeres feladatot, hogy tisztán lássuk a MUX-ok működését. X0 U3 1 U1 U3 U2 1 U1 X1 1 U2 U4 X2 U3 1 U1 U2 Y U1 X3 A0 A1

17 Pihenésképpen oldjunk meg egy multiplexeres feladatot, hogy tisztán lássuk a DEMUX-ok működését. X U3 1 U3 U1 Y0 1 U1 1 U4 Y1 U3 U1 1 Y2 U1 Y3 A0 A1

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

PLA és FPLA áramkörök

PLA és FPLA áramkörök Programozható logikai áramkörök PLA és FPLA áramkörök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

1. A programozható logikai eszközök főbb csoportjai

1. A programozható logikai eszközök főbb csoportjai 1. A programozható logikai eszközök főbb csoportjai 1.1 Logikai hálózatok gyakorlati megvalósítása A logikai hálózatokat a gyakorlatban háromféle alkatrésztípusból készíthetjük el. Ezek: Diszkrét logikai

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

TARTALOMJEGYZÉK. 1. BEVEZETÉS A logikai hálózatok csoportosítása Logikai rendszerek... 6

TARTALOMJEGYZÉK. 1. BEVEZETÉS A logikai hálózatok csoportosítása Logikai rendszerek... 6 TARTALOMJEGYZÉK ELŐSZÓ... 3 1. BEVEZETÉS... 4 1.1. A logikai hálózatok csoportosítása... 5 1.2. Logikai rendszerek... 6 2. SZÁMRENDSZEREK ÉS KÓDRENDSZEREK... 7 2.1. Számrendszerek... 7 2.1.1. Számok felírása

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 6. előadás: Vezérlő egységek II. - Programozható logikai eszközök Előadó: Dr. Vörösházi Zsolt

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Kombinációs hálózatok Adatszelektorok, multiplexer

Kombinációs hálózatok Adatszelektorok, multiplexer Adatszelektorok, multiplexer Jellemző példa multiplexer és demultiplexer alkalmazására: adó egyutas adatátvitel vevő adatvezeték cím címvezeték (opcionális) A multiplexer az adóoldali jelvezetékeken jelenlévő

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGIÁLIS ECHNIA II r Lovassy Rita r Pődör Bálint Óbudai Egyetem V Mikroelektronikai és echnológia Intézet 3 ELŐAÁS 3 ELŐAÁS ELEMI SORRENI HÁLÓZAO: FLIP-FLOPO (2 RÉSZ) 2 AZ ELŐAÁS ÉS A ANANYAG Az előadások

Részletesebben

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Misák Sándor SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Nanoelektronikai és Nanotechnológiai Részleg 4. előadás A DIGITÁLIS LOGIKA SZINTJE I. DE TTK v.0.1 (2007.03.13.) 4. előadás 1. Kapuk és Boole-algebra: Kapuk; Boole-algebra;

Részletesebben

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Misák Sándor SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.03.13.) 4. előadás A DIGITÁLIS LOGIKA SZINTJE I. 4. előadás 1. Kapuk és Boole-algebra: Kapuk; Boole-algebra;

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Bit: egy bináris számjegy, vagy olyan áramkör, amely egy bináris számjegy ábrázolására alkalmas. Bájt (Byte): 8 bites egység, 8 bites szám. Előjeles fixpontok számok: 2 8 = 256 különböző 8 bites szám lehetséges.

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

DIGITÁLIS TECHNIKA A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (1) ÁLTALÁNOS BEVEZETÉS A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (3)

DIGITÁLIS TECHNIKA A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (1) ÁLTALÁNOS BEVEZETÉS A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (3) DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 1. ELŐADÁS: BEVEZETÉS A DIGITÁLIS TECHNIKÁBA 1. Általános bevezetés. 1. ELŐADÁS 2. Bevezetés

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje!

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3 Előadó: Dr. Oniga István DIGITÁLIS TEHNIK 3 Logikai függvények logikai függvény olyan egyenlőség, amely változói kétértékűek, és ezek között csak logikai műveleteket végzünk függvények megadása történhet

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel Rendszerspecifikáció BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS ELŐÍRT TANKÖNYV-IRODALOM Sorrendi hálózatok, flip-flopok, regiszterek, számlálók,

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 4. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok)

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok) 30.B Digitális alapáramkörök Logikai alapáramkörök Ismertesse a szekvenciális hálózatok jellemzıit! Mutassa be a két- és többszintő logikai hálózatok realizálásának módszerét! Mutassa be a tároló áramkörök

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

DIGITÁLIS TECHNIKA 11. Előadás

DIGITÁLIS TECHNIKA 11. Előadás DIGITÁLIS TECHNIKA 11. Előadás Előadó: Dr. Oniga István Egyetemi docens 2010/2011 II félév Digitális integrált áramkörök technológiája A logikai áramkörök megépítéséhez elıször is ki kell választanunk

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

Hazárdjelenségek a kombinációs hálózatokban

Hazárdjelenségek a kombinációs hálózatokban Hazárdjelenségek a kombinációs hálózatokban enesóczky Zoltán 2004 jegyzetet a szerzői jog védi. zt a ME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb elhasználáshoz a szerző belegyezése

Részletesebben

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK ZÁRÓVIZSGA TEMATIKA Főiskolai szintű Villamosmérnöki szak Nappali tagozat FOLYAMATIRÁNYÍTÁSI ÉS KOMMUNIKÁCIÓTECHNIKAI SZAKISMERETEK (FVA)

Részletesebben

Aszinkron sorrendi hálózatok

Aszinkron sorrendi hálózatok Aszinkron sorrendi hálózatok Benesóczky Zoltán 24 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest?

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Név: Logikai kapuk Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Ha a logikai változókat állású kapcsolókkal helyettesítené, ezek milyen módon való kapcsolásával

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus A 10/07 (II. 27.) SzMM rendelettel módosított 1/06 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Máté: Számítógép architektúrák 20100922 Programozható logikai tömbök: PLA (315 ábra) (Programmable Logic Array) 6 kimenet Ha ezt a biztosítékot kiégetjük, akkor nem jelenik meg B# az 1 es ÉS kapu bemenetén

Részletesebben

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: 1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: A legegyszerűbb alak megtalálása valamilyen egyszerűsítéssel lehetséges (algebrai, Karnaugh, Quine stb.). Célszerű

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Fixpontos számok Pl.: előjeles kétjegyű decimális számok : Ábrázolási tartomány: [-99, +99]. Pontosság (két szomszédos szám különbsége): 1. Maximális hiba: (az ábrázolási tartományba eső) tetszőleges valós

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

DIGITÁLIS TECHNIKA LABORATÓRIUMI SEGÉDLET

DIGITÁLIS TECHNIKA LABORATÓRIUMI SEGÉDLET DIGITÁLIS TECHNIKA LABORATÓRIUMI SEGÉDLET VI. MÉRÉS AZ ABEL FEJLESZTİI PROGRAMRENDSZER ALAPJAI I.Minimális elméleti alapok. 1.Bevezetés A digitális rendszerek fejlıdése nagyon hamar válaszút elé állította

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Tantárgy: Szakmai gyakorlat Szakmai alapozó évfolyamok számára Összeállította: Farkas Viktor Bevezetés Az irányítástechnika felosztása Visszatekintés TTL CMOS integrált áramkörök

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS NORMÁL BCD KÓD Természetes kód - Minden számjegyhez a 4-bites bináris kódját

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók.

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók. 5. Laborgyakorlat Számláló funkciók, időzítő funkciók. A gyakorlat célja A számlálók és időzítők használata gyakori a folyamatirányításban. Gondoljunk egy futószalag indításának a késleltetésére, megállításánál

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Digitális technika kidolgozott tételek

Digitális technika kidolgozott tételek Digitális technika kidolgozott tételek 1. digit jel, kódok Analóg jel: általában lineáris egységek dolgozzák fel, időben folyamatos, valamilyen függvénnyel leírhatóak. Jellemzői: egyenszint átvitel, jel-zaj

Részletesebben

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Előadó: Dr. Bede Zsuzsanna, adjunktus Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St.

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél A nagy áram meghajtó képességű IC-nél nagymértékben előjöhetnek a földvezetéken fellépő hirtelen áramváltozásból adódó problémák. Jelentőségükre

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Kívánalom: sok kapu kevés láb Kombinációs áramkörök efiníció: kimeneteket egyértelműen meghatározzák a pillanatnyi bemenetek Multiplexer: n vezérlő bemenet, 2 n adatbemenet, kimenet z egyik adatbemenet

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

28. EGYSZERŰ DIGITÁLIS ÁRAMKÖRÖK

28. EGYSZERŰ DIGITÁLIS ÁRAMKÖRÖK 28. EGYSZERŰ DIGITÁLIS ÁRMKÖRÖK Célkitűzés: z egyszerű kombinációs digitális áramkörök elvi alapjainak, valamint ezek néhány gyakorlati alkalmazásának megismerése. I. Elméleti áttekintés digitális eszközök

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések Hobbi Elektronika Bevezetés az elektronikába: Boole algebra, logikai kifejezések 1 Felhasznált anyagok Mészáros Miklós: Logikai algebra alapjai, logikai függvények I. BME FKE: Logikai áramkörök Electronics-course.com:

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 1. CPLD komplex programozható logikai eszközök 1 Tartalom Újrakonffigurálható eszközök: PAL, PLA, CPLD, FPGA Az ALTERA MAXII EPM240 CPLD bemutatása A C-M240 fejlesztői kártya

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

DIGITÁLIS TECHNIKA I. Kutatók éjszakája szeptember ÁLTALÁNOS BEVEZETÉS A TANTÁRGY IDŐRENDI BEOSZTÁSA DIGITÁLIS TECHNIKA ANGOLUL

DIGITÁLIS TECHNIKA I. Kutatók éjszakája szeptember ÁLTALÁNOS BEVEZETÉS A TANTÁRGY IDŐRENDI BEOSZTÁSA DIGITÁLIS TECHNIKA ANGOLUL DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint Kutatók éjszakája 2016. szeptember 30. Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 1. ELŐADÁS: BEVEZETÉS A DIGITÁLIS TECHNIKÁBA 1

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK Irányítástechnika Az irányítás olyan művelet, mely beavatkozik valamely műszaki folyamatba annak: létrehozása (elindítása)

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)=

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)= 1. ZH A 1.)FPGA A Xilinx FPGA áramköröknek három alapvető építőeleme van: CLB: konfigurálható logikai blokk: szükséges logikai kapcsolatok megvalósítása egy logikai tömbben. Tartalmaz 2db. D Flip-Flop-ot

Részletesebben

Operációs rendszerek gyak.

Operációs rendszerek gyak. Operációs rendszerek gyak. AWK programozás Hirling Dominik Szegedi Tudományegyetem AWK AWK: a pattern scanning and processing language mintaelemző-és feldolgozó nyelv bármilyen szövegből minták alapján

Részletesebben

ANALÓG ÉS DIGITÁLIS TECHNIKA I

ANALÓG ÉS DIGITÁLIS TECHNIKA I ANALÓG ÉS DIGITÁLIS TECHNIKA I Dr. Lovassy Rita lovassy.rita@kvk.uni-obuda.hu Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS BILLENŐ ÁRAMKÖRÖK 2010/2011 tanév 2. félév 1 IRODALOM

Részletesebben

Oé 0, 0 Z. pj J I I C Q4 Q6- Q6. L- cp5«ils273rie. p» D5-Q6 07 UJJ. Sí S2 S3 S4. Si S2 S3 4 M. -MM-M. 54LSé6 54LS*G CTTUJU *7] 54LS273 D7

Oé 0, 0 Z. pj J I I C Q4 Q6- Q6. L- cp5«ils273rie. p» D5-Q6 07 UJJ. Sí S2 S3 S4. Si S2 S3 4 M. -MM-M. 54LSé6 54LS*G CTTUJU *7] 54LS273 D7 Gate Array-vel megvalósított kettes kompiémens képző és kódkonvertáló áramkör^ STIPKOVITS ISTVÁN* ICONKft. A cikk egy konkrét feladat megoldása kapcsán ismerteti a kapumátrixos berendezésorientált áramkörök

Részletesebben

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3.

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Szinkron hálózatok D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Irodalom: Arató Péter: Logikai rendszerek. Tankönyvkiadó, Bp. 1985. J.F.Wakerley: Digital Design. Principles and Practices; Prentice

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

MICONT Intelligens ház automatika. Rendszermodulok

MICONT Intelligens ház automatika. Rendszermodulok MICONT Intelligens ház automatika Rendszermodulok 1/10 oldal NO COM NC Irányítástechnikai és Szoftverfejlesztő Kft. Kapcsolómodul (MCT-1001-8-16) Az MCT-1001-8-16 kapcsolómodul egy 12 relé-kimenettel rendelkező

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek Hobbi Elektronika A digitális elektronika alapjai: További logikai műveletek 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL, 5th.

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben