A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:..."

Átírás

1 2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje! z alábbi kérdésekre a válaszokat ahol lehet mindig a feladatlapon oldja meg! feladatok megoldása során a részletes kidolgozást nagfeladatonként külön papíron végezze, (egértelm en jelölje, hog melik lap melik feladathoz tartozik) és ezeket a papírokat is adja be a dolgozatával! kérdésekre a táblázatok vag a pontozott vonalak értelemszer kitöltésével válaszoljon, hacsak külön másként nem kérjük Jó munkát! E Rajzoljon le funkcionális elemek segítségével eg olan egséget, mel összead 2db 8 bites 2es komplemens számot (7, 7), az eredmén az S7S kimeneteken jelenik meg (a 7 es bit az MS)! megvalósításhoz rendelkezésre áll 2 db 4 bites összeadó (I3I, I3I bemenetek, I bemenet, O kimenet, SO3SO kimenetek) (2p) E: F: F2: F3: : S7 S4 MS 7 4 S3 S 3 LS o (S8) SO I3 I2 I I SO SO2 SO3 o I3 I2 I I i SO I3 I2 I I SO SO2 SO3 o I3 I2 I I i E2 z alábbi kapcsolás eg számláló bels felépítését mutatja (4p) a Szinkron, vag aszinkron a számláló?szinkron b Mekkora a számláló modulusa? 8 c Fel, vag le számlál az egség? le d Mi a szerepe az Yal jelölt bemenetnek és a vel jelölt kimenetnek? Y= engedélez, az alulcsordulást jelzi Y E3 a Milen funkcionális elemb l alakították ki az alábbi számlálót? (p) shiftregiszter b dja meg a számláló modulusát! (p) 6 a b c SI

2 E4 Készítsen 2db 6 kbteos RMból eg 32 kbteos egséget! kialakítandó egség jelei: 4,, /S, /RD etsz leges kapukat is felhasználhat (3p) 3 3 /RD RD 3 /RD RD 3 esetleg /S = 5 /S= /5 is elfogadható S S /S /S /S 5 /S 5 E5 Mel állítások igazak és melek hamisak? Jelölje +al az igaz, al a hamis állításokat! (4p) Ha eg 4 bites shiftregiszter kimenetére eg dekódert kapcsolunk, a dekóder kimenetein + hazárdok jelenhetnek meg 2 Uganaz a folamatábra számláló tipusú vezérl vel kialakítva kevesebb, vag ugananni bemenet feltétel multipleert igénel, mint mikroprogramozottal 3 PLval nem alakítható ki hazárdmentes kombinációs hálózat 4 Eg vezérl engedélez tipusú kimeneti jele felhasználható számláló órajeleként Feladatok: F ervezze meg eg olan egség részletes funkcionális blokkvázlatát, amel megkeresi eg 256 bteos ban lev, az alább megadott feltételeket kielégít els adatot és kiadja ennek címét a kimenetén Ha talált ilen számot, azt a JELE kimeneten adott gel mutatja Ha végzett a feladattal, azt a REDY kimenetén adott el jelzi és leáll a m ködés megtalált szám címe az kimeneten jelenik meg feltételek: a megkeresend szám a ban a ás címen található szám szerese ban lev adatokat 2es komplemens kódban értelmezzük feltétel logikát a blokkvázlaton eg blokk jelölje, s külön rajzolja le a blokk részletes bels felépítését folamat a SR bemeneten érkez, legalább eg órajel periódus ideig tartó impulzus hatására indul z áramkör összes bemenete és kimenete magas aktív z kimenet értéke a feltétel teljesüléséig tetsz leges z áramkört eg a bekapcsoláskor aktivizálódó jel hozza alaphelzetbe 7 SR JELE REDY a Külön lapon rajzolja le az áramkör részletes funkcionális blokkvázlatát! (3p) b Írja le az áramkör m ködését! (2p) REDY JELE = feltétel kiértékelõ 8 addr KOMP Y H szinkr JELE S 7 7 D7 S OE REG SR 2es komplemens képzõ addr 2

3 F2 dott eg 4 bites engedélezhet (= engedélez) szinkron töltés (= tölt), 2es modulusú, felfutó él érzéken felle (= fel) számláló, melnek =/(/MXMIN) ripple clock outputja, és MXMIN=3/ + /3//// kimenete is van Két számlálót kaszkádosítottunk, az alábbi ábra szerinti módon z alábbi kérdéseknél a számláló állapotait headecimási számokat használva adjuk meg, ill várjuk (5p) 9 8 MS R es SÁML D D R 3 2es SÁML D D R 3 2es SÁML D D D D D9 D8 D7 D6 D5 D4 D D a Egenl re a külön álló 3 számlálótól tekintsen el Mekkora a 2 számlálóból álló egség modulusa? (p) Modulus: 2*2=44 b Rajzolja le a megadott jelek id diagramját, az alábbi ábrán felrajzolt vezérlések esetén, ha = és a bementeken folamatosan érték van 7 kimenethez írja be a számláló állaptát is, headecimális számok segítségével! (5p) 7 c Kaszkádosítsa a felrajzolt 3 számlálót is az el z 2 egséghez szinkron módon (2p) d Egészítse ki a cpontban kialakult 3 számlálóból álló egséget hog az a következ módon m ködjön (7p): Eg SR jel megsz nését követ en az OU kimenete be meg, majd megadható N számú órajelet követ en újra lesz 3 digites N szám 2es számrendszerben adható meg kiegészítéshez kapukat és eg flipflopot használhat örekedjen az egszer ségre, lehet leg kevés kaput és a feladathoz illeszked flipflop tipust válasszon! kapcsolást külön lapon adja be! Röviden írja le az áramkör m ködését! 3

4 4 MS 3 D D D4 D5 D6 D7 R R D D D8 D9 D D 2es SÁML 2 R2 D D 3 2es SÁML D D 3 2es SÁML J K SR OU Min Min /OU

5 F3 Valósítsa meg a következ folamatábrát a megadott mikroprogramozott vezérl vel! (5p) a dja meg a mikroprogramot a táblázat kitöltésével! artsa be a megadott állapotkódolást! (9p) ímek: MS Jc Jb Ja c b a REG c b a Jc Jb Ja (aszinkron) MPX a Ya b c Yb a Yc b S c F Ja Jb Jc Ja Jb Jc MS SR 5, 6 7 Y MPX S F c b SHR a Si / b vezérl bemenetére eg shiftregiszter c kimenete kapcsolódik shiftregiszter a a>b>c iránban shiftel, szinkron törlését a vezérl engedélez tipusú kimenete végzi Rajzolja le az alábbi jelek id diagramját, ha = (6p) állapot 2 3 SHR Maimális pontszám: 6 pont Rendelkezésre álló id : perc 5

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2..év hó nap NÉV:...neptun kód:.. Kurzus: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre VEZÉRLŐK Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. A fealdatot

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA Az Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről szóló 133/2010. (IV. 22. ) Korm. rendelet alapján. Szakképesítés, szakképesítés-elágazás, rész-szakképesítés,

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók. Megoldás Digitális technika II. (vimia111) 1. gyakorlat: Digit alkatrészek tulajdonságai, funkcionális elemek (MSI) szerepe, multiplexer, demultiplexer/dekóder Elméleti anyag: Digitális alkatrészcsaládok

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Aszinkron sorrendi hálózatok

Aszinkron sorrendi hálózatok Aszinkron sorrendi hálózatok Benesóczky Zoltán 24 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen,

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen, MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc Debrecen, 2017. 01. 03. Név: Neptun kód: Megjegyzések: A feladatok megoldásánál használja a géprajz szabályait, valamint a szabványos áramköri elemeket.

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A 2. gyakorlaton foglalkoztunk a 3-mal vagy 5-tel osztható 4 bites számok felismerésével. Abban a feladatban a bemenet bitpárhuzamosan, azaz egy időben minden adatbit

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR MGYR KERESKEDELMI ÉS IPRKMR rszágos Szakmai Tanulmányi Verseny Elődöntő KMPLEX ÍRÁSBELI FELDTSR Szakképesítés: SZVK rendelet száma: 29/2016. (VIII. 26.) NGM rendelet Komplex írásbeli: Villamosipari és

Részletesebben

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Elméleti anyag: Amikor a hazárd jó: élekből impulzus előállítás Sorrendi hálózatok alapjai,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGIÁLIS ECHNIA II r Lovassy Rita r Pődör Bálint Óbudai Egyetem V Mikroelektronikai és echnológia Intézet 3 ELŐAÁS 3 ELŐAÁS ELEMI SORRENI HÁLÓZAO: FLIP-FLOPO (2 RÉSZ) 2 AZ ELŐAÁS ÉS A ANANYAG Az előadások

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: 1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: A legegyszerűbb alak megtalálása valamilyen egyszerűsítéssel lehetséges (algebrai, Karnaugh, Quine stb.). Célszerű

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. Tervezzünk egy soros mintafelismerőt, ami a bemenetére ciklikusan, sorosan érkező 4 bites számok közül felismeri azokat, amelyek 3-mal vagy 5-tel oszthatók. A fenti

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Digitális technika házi feladat III. Megoldások

Digitális technika házi feladat III. Megoldások IV. Szinkron hálózatok Digitális technika házi feladat III. Megoldások 1. Adja meg az alábbi állapottáblával megadott 3 kimenetű sorrendi hálózat minimális állapotgráfját! a b/x1x c/x0x b d/xxx e/x0x c

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók Szinkron, aszinkron számlálók szekvenciális hálózatok egyik legfontosabb csoportja a számlálók. Hasonlóan az 1 és 0 jelölésekhez a számlálók kimenetei sem interpretálandók mindig számként, pl. a kimeneteikkel

Részletesebben

Az f függvénynek van határértéke az x = 2 pontban és ez a határérték 3-mal egyenl½o lim f(x) = 3.

Az f függvénynek van határértéke az x = 2 pontban és ez a határérték 3-mal egyenl½o lim f(x) = 3. 0-06, II. félév. FELADATLAP Eredmének. Van határértéke, illetve foltonos az f függvén az alábbi pontokban? (a) = Az f függvénnek van határértéke az = pontban és ez a határérték -mal egenl½o f() =.! Az

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS ELŐÍRT TANKÖNYV-IRODALOM Sorrendi hálózatok, flip-flopok, regiszterek, számlálók,

Részletesebben

2. Digitális hálózatok...60

2. Digitális hálózatok...60 2 60 21 Kombinációs hálózatok61 Kombinációs feladatok logikai leírása62 Kombinációs hálózatok logikai tervezése62 22 Összetett műveletek használata66 z univerzális műveletek alkalmazása66 kizáró-vagy kapuk

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Versenyző kódja: 27 27/2012. (VIII. 27.) NGM rendelet 54 523 01-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 27 27/2012. (VIII. 27.) NGM rendelet 54 523 01-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 01-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 01 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Villamosipari

Részletesebben

1. Visszacsatolás nélküli kapcsolások

1. Visszacsatolás nélküli kapcsolások 1. Visszacsatolás nélküli kapcsolások 1.1. Kösse az erõsítõ invertáló bemenetét a tápfeszültség 0 potenciálú kimenetére! Ezt nevezzük földnek. A nem invertáló bemenetre kösse egy potenciométer középsõ

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Kalkulus II., harmadik házi feladat

Kalkulus II., harmadik házi feladat Név: Neptun: Web: http://mawell.sze.hu/~ungert Kalkulus II., harmadik házi feladat.,5 pont) Határozzuk meg a következ határértékeket: ahol a) A =, ), b) A =, ), c) A =, ).,) A Az egszer bb kezelhet ség

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 7. ELŐADÁS AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Matematika OKTV I. kategória 2017/2018 második forduló szakgimnázium-szakközépiskola

Matematika OKTV I. kategória 2017/2018 második forduló szakgimnázium-szakközépiskola O k t a t á s i H i v a t a l A 017/018. tanévi Országos Középiskolai Tanulmáni Versen második forduló MATEMATIKA I. KATEGÓRIA (SZAKGIMNÁZIUM, SZAKKÖZÉPISKOLA) Javítási-értékelési útmutató 1. Adja meg

Részletesebben

SZILÁRDSÁGTAN A minimum teszt kérdései a gépészmérnöki szak egyetemi ágon tanuló hallgatói részére (2004/2005 tavaszi félév, szigorlat)

SZILÁRDSÁGTAN A minimum teszt kérdései a gépészmérnöki szak egyetemi ágon tanuló hallgatói részére (2004/2005 tavaszi félév, szigorlat) SILÁRDSÁGTAN A minimum teszt kérdései a gépészmérnöki szak egetemi ágon tanuló hallgatói részére (2004/2005 tavaszi félév, szigorlat) Szilárdságtan Pontszám 1. A másodrendű tenzor értelmezése (2) 2. A

Részletesebben

Versenyző kódja: 31 27/2012. (VIII. 27.) NGM rendelet 54 523 02-2015 MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 31 27/2012. (VIII. 27.) NGM rendelet 54 523 02-2015 MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2015 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási/áramköri/tervezési

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TEHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok)

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok) 30.B Digitális alapáramkörök Logikai alapáramkörök Ismertesse a szekvenciális hálózatok jellemzıit! Mutassa be a két- és többszintő logikai hálózatok realizálásának módszerét! Mutassa be a tároló áramkörök

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2009. 2006. május 22. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben

VEZÉRLŐEGYSÉGEK. Tartalom

VEZÉRLŐEGYSÉGEK. Tartalom VEZÉRLŐEGYSÉGEK Tartalom VEZÉRLŐEGYSÉGEK... 1 Vezérlőegységek fajtái és jellemzői... 2 A processzor elemei... 2 A vezérlés modellje... 2 A vezérlőegységek csoportosítása a tervezés módszere szerint...

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

Digitálistechnika II. 1. rész

Digitálistechnika II. 1. rész Digitálistechnika II. 1. rész Oktatási cél: A tárgy keretében a Digitális technika I. tárgyban szerzett elméleti ismeretek elmélyítésére kerül sor. A hallgatók gyakorlati feladat-megoldások segítségével

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

ÍRÁSBELI FELADAT MEGOLDÁSA

ÍRÁSBELI FELADAT MEGOLDÁSA 54 523 01-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT MEGOLDÁSA Szakképesítés: 54 523 01 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási/áramköri/tervezési

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS NORMÁL BCD KÓD Természetes kód - Minden számjegyhez a 4-bites bináris kódját

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

Digitális rendszerek. Mikroarchitektúra szintje

Digitális rendszerek. Mikroarchitektúra szintje Digitális rendszerek Mikroarchitektúra szintje Mikroarchitektúra Jellemzők A digitális logika feletti szint Feladata az utasításrendszer-architektúra szint megalapozása, illetve megvalósítása Példa Egy

Részletesebben

RC tag mérési jegyz könyv

RC tag mérési jegyz könyv RC tag mérési jegyz könyv Mérést végezte: Csutak Balázs, Farkas Viktória Mérés helye és ideje: ITK 320. terem, 2016.03.09 A mérés célja: Az ELVIS próbapanel és az ELVIS m szerek használatának elsajátítása,

Részletesebben

Hazárdjelenségek a kombinációs hálózatokban

Hazárdjelenségek a kombinációs hálózatokban Hazárdjelenségek a kombinációs hálózatokban enesóczky Zoltán 2004 jegyzetet a szerzői jog védi. zt a ME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb elhasználáshoz a szerző belegyezése

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR Szakképesítés: SZVK rendelet száma: Komplex írásbeli: Számolási, áramköri, tervezési feladatok

Részletesebben

Szekvenciális hálózatok Állapotdiagram

Szekvenciális hálózatok Állapotdiagram Szekvenciális hálózatok Állapotdiagram A kombinatorikus hálózatokra jellemző: A kimeneti paramétereket kizárólag a mindenkori bemeneti paraméterek határozzák meg, a hálózat jellegének, felépítésének megfelelően

Részletesebben

10-11. hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok esetén

10-11. hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok esetén Pannon Egyetem Villamosmérnöki és Információs Tanszék Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc) 10-11. hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok

Részletesebben

MAGYARÁZAT A MATEMATIKA NULLADIK ZÁRTHELYI MINTAFELADATSOR FELADATAIHOZ 2010.

MAGYARÁZAT A MATEMATIKA NULLADIK ZÁRTHELYI MINTAFELADATSOR FELADATAIHOZ 2010. MAGYARÁZAT A MATEMATIKA NULLADIK ZÁRTHELYI MINTAFELADATSOR FELADATAIHOZ 00.. Tetszőleges, nem negatív szám esetén, Göktelenítsük a nevezőt: (B). Menni a 0 kifejezés értéke? (D) 0 0 0 0 0000 400 0. 5 Felhasznált

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A digitális tervezésben gyakran szükséges a logikai jelek változását érzékelni és jelezni. A változásdetektorok készülhetnek csak egy típusú változás (0 1, vagy

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2007. május 25. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2007. május 25. 8:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2013. október 14. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2013. október 14. 14:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3.

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Szinkron hálózatok D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Irodalom: Arató Péter: Logikai rendszerek. Tankönyvkiadó, Bp. 1985. J.F.Wakerley: Digital Design. Principles and Practices; Prentice

Részletesebben

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök 4. hét: Ideális és valódi építőelemek Steiner Henriette Egészségügyi mérnök Digitális technika 2015/2016 Digitális technika 2015/2016 Bevezetés Az ideális és valódi építőelemek Digitális technika 2015/2016

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Versenyző kódja: 30 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 30 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 522 01-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 522 01 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási/szerkesztési/szakrajzi

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Versenyző kódja: 31 15/2008. (VIII. 13) SZMM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny

Versenyző kódja: 31 15/2008. (VIII. 13) SZMM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny 54 523 01 0000 00 00-2014 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 01 0000 00 00 SZVK rendelet száma: 15/2008 (VIII. 13.) SZMM

Részletesebben

1. EGY- ÉS KÉTVÁLTOZÓS LOGIKAI ELEMEK KAPCSOLÁSTECHNIKÁJA ÉS JELÖLŐRENDSZERE

1. EGY- ÉS KÉTVÁLTOZÓS LOGIKAI ELEMEK KAPCSOLÁSTECHNIKÁJA ÉS JELÖLŐRENDSZERE . EGY- ÉS KÉTVÁLTOZÓS LOGIKI ELEMEK KPCSOLÁSTECHNIKÁJ ÉS JELÖLŐRENDSZERE tananyag célja: z egy- és kétváltozós logikai függvények Boole algebrai szabályainak, kapcsolástechnikájának és jelölésrendszerének

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2012. május 25. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2012. május 25. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR MEGOLDÁSA

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR MEGOLDÁSA 5 52 0 Automatikai technikus MAGYAR KERESKEDELMI IPARKAMARA rszágos Szakmai Tanulmányi Verseny Elődöntő KMPLEX ÍRÁSBELI FELADATSR MEGLDÁSA Szakképesítés: 5 52 0 Automatikai technikus SZVK rendelet száma:

Részletesebben

5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK

5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK 5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK A tananyag célja: a kódolással kapcsolatos alapfogalmak és a digitális technikában használt leggyakoribb típusok áttekintése ill. áramköri megoldások

Részletesebben

Digitális technika VIMIAA01 5. hét

Digitális technika VIMIAA01 5. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

KÁOSZ EGY TÁLBAN Tóthné Juhász Tünde Karinthy Frigyes Gimnázium (Budapest) Gócz Éva Lónyai Utcai Református Gimnázium

KÁOSZ EGY TÁLBAN Tóthné Juhász Tünde Karinthy Frigyes Gimnázium (Budapest) Gócz Éva Lónyai Utcai Református Gimnázium válaszolására iránuló, még folamatban lévô (a dekoherencia és a hullámcsomag kollapszusa tárgkörökbe esô) elméleti próbálkozások ismertetésétôl. Ehelett inkább a kísérletek elôfeltételét képezô kvantumhûtés

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS DIGITÁLIS THNIK I Dr. Lovassy Rita Dr. Pődör álint Óbudai gyetem KVK Mikroelektronikai és Technológia Intézet. LŐDÁS PÉLD: KÖZÜL DKÓDÓLÓ / O O O Háromból nyolcvonalas dekódoló engedélyező bemenettel. kimeneti

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2009. május 22. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KLTRÁLIS

Részletesebben

2) Írja fel az alábbi lineáris függvény grafikonjának egyenletét! (3pont)

2) Írja fel az alábbi lineáris függvény grafikonjának egyenletét! (3pont) (11/1) Függvények 1 1) Ábrázolja az f()= -4 függvényt a [ ;10 ] intervallumon! (pont) ) Írja fel az alábbi lineáris függvény grafikonjának egyenletét! (3pont) 3) Ábrázolja + 1 - függvényt a [ ;] -on! (3pont)

Részletesebben

IRÁNYÍTÁSTECHNIKA I.

IRÁNYÍTÁSTECHNIKA I. IRÁNÍTÁSTEHNIK I. 5 éves Sc kurzus Összeállította: Dr. Tarnai Géza egetemi tanár udapest, 8. Rendszer- és iránításelméleti ismeretek. félév. félév Diszkrét állapotú rendszerek, logikai hálózatok Foltonos

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2012. október 15. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2012. október 15. 1:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben