A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:..."

Átírás

1 2..év hó nap NÉV:...neptun kód:.. Kurzus: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje! z alábbi kérdésekre a válaszokat - ahol lehet - mindig a feladatlapon oldja meg! feladatok megoldása során a részletes kidolgozást nagyfeladatonként külön papíron végezze, (egyértelműen jelölje, hogy melyik lap melyik feladathoz tartozik, a papírra már a kezdetkor írja rá a nevét és neptun kódját) és ezeket a papírokat is adja be a dolgozatával! kérdésekre a táblázatok vagy a pontozott vonalak értelemszerű kitöltésével válaszoljon, hacsak külön másként nem kérjük. Mindenütt a legegyszerűbb megoldás éri a legtöbb pontot. Jó munkát! Ellenőrző kérdések (25p) E. Konvertálja az alábbi számokat a kért formátumra! (2p) kiinduló formátum konvertálandó szám kért formátum konvertált szám decimális 2 6 bites előjel nélküli bináris bites 2-es komplemens decimális -3 E: F: F2: F3: : E2. Mi az előnye a 2-es komplemens számábrázolásnak? Húzza alá a legkedvezőbb választ! (p) a. előjeles számok viszonyának (kisebb/nagyobb) eldöntésénél előnyös. b. Előjeles számok összeadásánál előnyös. c. Előjeles számos 2 hatvánnyal való szorzásánál előnyös. E3. Egyszerűsítse az alábbi oole algebai kifejezést, oole algebrai átalakításokkal! részeredményeket is írja le! (p) + /. =...(+/)( + ) = +... E4. Kaszkádosítsa az alábbi, bites összeadókat a rajz kiegészítésével úgy, hogy azok 2 db 3 bites számot helyesen adjanak össze. ( értékét ennek megfelelően írja be). dja meg a kimeneteiken megjelenő logikai értékeket is a beírt adatok esetére! (2p) UM UM E5. Megadtuk a fenti összedadó kimenetének igazságtábláját Karnaugh táblás alakban. (3p) a. Írja le a függvényt megvalósító kombinációs hálózatot Verilog nyelven, egyszerűsítetlen OP alakban! Ci.. assign = ~&~& ~&&~ &~&~ &&;. b. Lehet-e egyszerűsíteni a függvényt, ha a megvalósításhoz csak N, OR, INV logikai operátorokat használhatunk? Húzza alá a megfelelő választ! igen nem E6. z alábbi kapcsolási rajz egy ismert funkcionális elem belső felépítését mutatja. dja meg a nevét, majd folytassa az O kimenetének Verilog leírását! (3p) O O I3 I2 I neve:...prioritás enkóder... always (*) if(en) casex (I) 4 b: O = 2 b; 4 bx: O = 2 b; 4 bxx: O = 2 b; INV En 4 bxxx: O = 2 b; default: O = 2 b; I UM

2 E7. a. Milyen ismert áramkör látható az alábbi kapcsolási rajzon? (p)...r flip-flop... b. dja meg, milyen válaszsorozatot ad az alábbi bemeneti sorozatra az áramkör! megoldást elkezdtük, folytassa! (2p) X X X X E. Egészítse ki az alábbi rajzot, az kérdéseknek megfelelően! a. REG O MPX I [3:] I Ld b. REG O MPX I [3:] I Ld a. Egy engedélyezhető regisztert valósítson meg! (Ld = tölt) (p) b. Egy jobbra shiftelő tölthető shiftregisztert valósítson meg! (Ld = tölt, egyébként shiftel) (p) [3:] [3:] i,[3:] [3:] E9. Milyen funkcionális elemet adtunk meg az alábbi Verilog leírással? (p) wire [3:] in; assign ou = (({3 b,en} << sel) & in); funkcionális elem neve:.multiplexer (4 bit, en). E. a. Készítsen az alábbi ROM-okból 3-szoros kapacitású egységet! (Egészítse kia a rajzot!) (p) z elkészítendő egység jelei: 3-, 7-,, C b. Mekkora egy ROM modul kapacitása? (p) 4 kibyte C 3 2 E s s 2 C C C C ROM C ROM C2 ROM C 7- C 7- C EK 3 E. Mely állítások igazak és melyek hamisak? Jelölje +-al az igaz, --al a hamis állításokat! (5p). z always blokkban wire és reg tíusú változóknak lehet értéket adni bináris számlálók bármely adat kimenetén a magas és alacsony szint névlegesen ugyanannyi ideig tart. 3. z EXNOR függvény páratlan számú -es esetén ad -et Minden szinkron sorrendi hálózat kimenete csak az órajel aktív élének hatására változhat meg Ha két ugyanazon órajelre működő regiszter között egy kombinációs hálózat van, akkor az ideális órajel periódusidejére (T) igaz, hogy T > tcqmax + tpmax + tsu Feladatok: F. (p) dott egy FM az alábbi állapotgráffal. Állapotok:,,C,,E. emenetek:, tart, f, f2, f3. Kimenetek: z,z. z állapotkódolást megadtuk. Készítse le a Verilog leírását külön az állapotregiszter, külön a next_state logika és külön a kimeneti logika megadásával! next_state logikánál az always-t a kimeneti logikánál pedig assign-t használja! leírást elkezdtük, fejezze be! + + z,z= f /f z,z= C z=, z= z,z= f2 /f2 z,z= f3 z=, z= Milyen modell szerint működik? Húzza alá a megfelelőt! (p) Mealy Moore /f3 z,z= 2

3 //Konstans és változó deklarációk, minhárom egységhez tartozó deklarációkat ide írja! (2p): localparam [:] = 2 b, = 2 b, C = 2 b, = 2 b; reg [:] state; reg [:] next_state; //Állapotregiszter (2p): always@( posedge ) if() state <= ; state <= next_state; //Next_state logika (4p): always@( * ) case (state) : if(f) next_state <= ; next_state <= C; : if(f2) next_state <= ; next_state <= ; C: if(f3) next_state <= ; next_state <= C; : next_state <= ; default next_state <= ; //Kimeneti logika (2p): assign z = f2 & (state == ) (state == ); assign z = f3 & (state == C) (state == ); F2. ecimális számláló modul tervezés, kaszkádosítás, időmultiplexált kijelzés. (2p) a. dja meg egy decimális számláló (dec_cnt) Verilog modulját. számláló tölthető (ld, d[3:]) kétiányú (dir = fel), engedélyezhető (en), kimenetei: q[3:] és tc. (6p) module dec_cnt(input, input ld, input dir, input en, input [3:] d, output [3:] q, output tc) assign q = q == 4 d; assign q9 = q == 4 d9; assign tc = en & (dir? q9 : q); ) begin if(ld) q <= d; if(en) if(dir) if(q9) q <= ; q <= q+; if(q) q <= 9; q <= q-; end b. Kaszkádosítson a fenti dec_cnt modulból 2 példányt (dec_cnth, dec_cntl. Utóbbi adja az L-t)! kaszkádosítást annak Verilog leírásával végezze! (4p) dec_cnt dec_cntl(.(),.ld(ld),.dir(dir),.en(en),.q(ql),.tc(tcl)); dec_cnt dec_cnth(.(),.ld(ld),.dir(dir),.en(tcl),.q(qh),.tc(tch)); 3

4 c. Egészítse ki a b-pontbeli leírást (alább vagy külön lapon), hogy a decimális számlálók kimenete egy 2 digites időmultiplexált kijelzőn jelenjen meg! 7 szegmenses kijelző digitjeinek engedélyezését a dig, dig jelek végzik, az adat bemenete dat[3:]. 7 szegmenses kijelző C kódú adatokat vár (a C_7 szegmenses dekóder bele van építve). z időmultiplexálás vezérléséhez rendelkezésére áll egy 2 bites gyűrűs számláló modul: ring(input, input, output [:] Ou). (3p) wire dig,dig; wire [3:] dat; ring ring(.(),.(),.ou({dig,dig})); // gyűrűs számláló példányosítása case ({dig,dig}) 2 b: dat <= ql; 2 b: dat <= qh; default: //adatok multiplexálása 4

5 F3. Egy 256x-as ROM-ban meg kell számolni a külső din bemeneten lévő adattal egyező adott adatok számát! számolást a start bemenetre adott impulzus indítja. feladat elkészültét az rdy kimenetén egy pulzussal jelzi, ekkor data_count kimenetén az adatok száma található. (2p) a. Röviden fogalmazza meg az algoritmust! (2p) start előtt az adat számlálót és cím számlálót -ázzuk. start után minden címen tesztelni kell, hogy a ROM adat egyezik-e a bemenetivel. Egyezés esetén inkrementálni kell az adat számlálót. Tesztelés után növelni kell a címszámlálót. legnagyobb memória címen való tesztelés után rdy jelzés, majd vissza az elejére. b. Rajzolja le az adatstruktúrát vezérlő HLM állapotdiagramját! (4p) z baloldali verzióban az eredmény csak az rdy alatt érvényes, a baloldaliban az új TRT-ig. Maxaddr =(addr_cntr == 255) rdy = rdy = sum_clr = REY REY addr_cntr = ILE!(data==indat) & Maxaddr TRT TET ILE TRT!(data==indat) & Maxaddr INIT TET INC_ddr data==indat!maxaddr INC_sum Maxaddr sum_clr= addr_cntr= INC_ddr data==indat!maxaddr INC_sum Maxaddr addr_cntr = addr_cntr + sum_cntr = sum_cntr + addr_cntr = addr_cntr + sum_cntr = sum_cntr + c. Rajzolja le a feladat adatstruktúrájának blokkvázlatát. feladat elkészítéséhez az alábbi előre megadott modulokat használja fel (akár több példányban is). (6p) ROM256x(input [7:] addr, output [7:] data); counter256(input, input, input en, output [7:] q, output tc); comp(input [7:] a, input [7:] b, output eq); //ROM //szinkron -ű felfele számláló // egyenlőség komparátor TTRUKTÚR: addr_cntr inc_addr E TC Maxaddr q[7:] addr_clr R counter256 sum_cntr[] T sum_clr ROM addr data data ROM256x sum_cntr[7:] inc_sum q[7:] tc en counter256 sum_clr aeqb a=b a b din inc_addr sum_clr Maxaddr rdy addr_clr inc_sum aeqb comp v ezérlõ számlálót 9-bitesre kell kiegészíteni, mert ha minden adat egyezik, akkor a sum_cntr = 256 (x). Maximális pontszám: 6 pont Rendelkezésre álló idő: perc 5

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje!

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... NÉV:... neptun kód:.. feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a név és aláírás rovatokkal kezdje! z alábbi kérdésekre

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Verilog HDL ismertető 4. hét : hét dia

Verilog HDL ismertető 4. hét : hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 4. hét : 1.-3. hét + 41 61 dia Fehér Béla, Raikovich

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Verilog HDL ismertető

Verilog HDL ismertető BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 1. hét: 1 14 diák 2. hét: 15 25 diák 3. hét: 26

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Verilog ismertető (Szántó Péter, BME MIT, )

Verilog ismertető (Szántó Péter, BME MIT, ) Verilog ismertető (Szántó Péter, BME MIT, 2006-09-17) Tartalomjegyzék 1. Bevezetés...1 2. Verilog nyelvi elemek...2 2.1. Modulok definiálása...2 2.2. Operátorok...3 2.3. Változók, értékadások...4 2.3.1.

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. Tervezzünk egy soros mintafelismerőt, ami a bemenetére ciklikusan, sorosan érkező 4 bites számok közül felismeri azokat, amelyek 3-mal vagy 5-tel oszthatók. A fenti

Részletesebben

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók. Megoldás Digitális technika II. (vimia111) 1. gyakorlat: Digit alkatrészek tulajdonságai, funkcionális elemek (MSI) szerepe, multiplexer, demultiplexer/dekóder Elméleti anyag: Digitális alkatrészcsaládok

Részletesebben

Verilog HDL ismertető 2. hét : 1. hét dia

Verilog HDL ismertető 2. hét : 1. hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 2. hét : 1. hét + 15 25 dia Fehér Béla, Raikovich

Részletesebben

Számlálók, adatfeldolgozó egységek

Számlálók, adatfeldolgozó egységek Számlálók, adatfeldolgozó egységek F1. A LOGSYS kártya órajel generátora 16MHz frekvenciájú szimmetrikus négyszögjelet állít elő. Egy digitális stoppert szeretnénk készíteni. A stopper alapvetően a hagyományos

Részletesebben

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA Az Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről szóló 133/2010. (IV. 22. ) Korm. rendelet alapján. Szakképesítés, szakképesítés-elágazás, rész-szakképesítés,

Részletesebben

Digitális technika Laboratórium 6.

Digitális technika Laboratórium 6. BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Laboratórium 6. BME MIT Fehér Béla Benesócky Zoltán

Részletesebben

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: 1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: A legegyszerűbb alak megtalálása valamilyen egyszerűsítéssel lehetséges (algebrai, Karnaugh, Quine stb.). Célszerű

Részletesebben

Digitális technika VIMIAA01 5. hét

Digitális technika VIMIAA01 5. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor Verilog ismertető Szántó Péter BME Méréstechnika és Információs Rszerek Tanszék, FPGA Labor 2011-07-20 Tartalomjegyzék 1. Bevezetés... 1 2. Verilog nyelvi elemek... 2 2.1. Modulok definiálása... 2 2.2.

Részletesebben

Digitális technika házi feladat III. Megoldások

Digitális technika házi feladat III. Megoldások IV. Szinkron hálózatok Digitális technika házi feladat III. Megoldások 1. Adja meg az alábbi állapottáblával megadott 3 kimenetű sorrendi hálózat minimális állapotgráfját! a b/x1x c/x0x b d/xxx e/x0x c

Részletesebben

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási/áramköri/tervezési

Részletesebben

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék Miskolci Egyetem Gépészmérnöki és Informatikai Kar 2019/2020. tanév I. félév Automatizálási és Kommunikáció- Technológiai Tanszék Digitális rendszerek I. c. tantárgy előadásának és gyakorlatának ütemterve

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS Arató Péter: Logikai rendszerek tervezése, Tankönyvkiadó,

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A 2. gyakorlaton foglalkoztunk a 3-mal vagy 5-tel osztható 4 bites számok felismerésével. Abban a feladatban a bemenet bitpárhuzamosan, azaz egy időben minden adatbit

Részletesebben

Összetett feladatok megoldása

Összetett feladatok megoldása Összetett feladatok megoldása F1. A laboratóriumi feladat a legnagyobb közös osztó kiszámító algoritmusának realizálása digitális hardver eszközökkel. Az Euklideszi algoritmus alapja a maradékos osztás,

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK É RETTSÉGI VIZSGA 2005. október 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2005. október 24., 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István LOGIKI TERVEZÉS PROGRMOZHTÓ ÁRMKÖRÖKKEL Elő Előadó: Dr. Oniga István Funkcionális kombinációs ió egységek következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT Kombinációs logikák

Részletesebben

Digitális technika VIMIAA02 7. hét

Digitális technika VIMIAA02 7. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT Kombinációs logikák

Részletesebben

Versenyző kódja: 31 15/2008. (VIII. 13) SZMM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny

Versenyző kódja: 31 15/2008. (VIII. 13) SZMM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny 54 523 01 0000 00 00-2014 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 01 0000 00 00 SZVK rendelet száma: 15/2008 (VIII. 13.) SZMM

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN 14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN A digitális berendezések kijelzőjének kezelésénél gyakori feladat a kijelzett tartalom mozgatása valamilyen szabály szerint. Ebben a példában

Részletesebben

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Elméleti anyag: Amikor a hazárd jó: élekből impulzus előállítás Sorrendi hálózatok alapjai,

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A digitális tervezésben gyakran szükséges a logikai jelek változását érzékelni és jelezni. A változásdetektorok készülhetnek csak egy típusú változás (0 1, vagy

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3.

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Szinkron hálózatok D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Irodalom: Arató Péter: Logikai rendszerek. Tankönyvkiadó, Bp. 1985. J.F.Wakerley: Digital Design. Principles and Practices; Prentice

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

A Verilog HDL áttekintése

A Verilog HDL áttekintése Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A Verilog HDL áttekintése

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2011. október 17. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2011. október 17. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök 4. hét: Ideális és valódi építőelemek Steiner Henriette Egészségügyi mérnök Digitális technika 2015/2016 Digitális technika 2015/2016 Bevezetés Az ideális és valódi építőelemek Digitális technika 2015/2016

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre VEZÉRLŐK Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. A fealdatot

Részletesebben

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS NORMÁL BCD KÓD Természetes kód - Minden számjegyhez a 4-bites bináris kódját

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2015. május 19. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2015. május 19. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2009. 2006. május 22. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben

Megoldás Digitális technika I. (vimia102) 2. gyakorlat: Boole algebra, logikai függvények, kombinációs hálózatok alapjai

Megoldás Digitális technika I. (vimia102) 2. gyakorlat: Boole algebra, logikai függvények, kombinációs hálózatok alapjai Megoldás Digitális technika I. (vimia102) 2. gyakorlat: Boole algebra, logikai függvények, kombinációs hálózatok alapjai Elméleti anyag: Az általános digitális gép: memória + kombinációs hálózat A Boole

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész Hobbi Elektronika A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2008. május 26. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2008. május 26. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

Tájékoztató. Használható segédeszköz: számológép

Tájékoztató. Használható segédeszköz: számológép A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) és a 27/2012 (VIII. 27.) NGM rendelet a 29/2016 (III.26.) NMG rendelet által módosított szakmai és vizsgakövetelménye

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 7. Hétszegmenses LED kijelző multiplex vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2013. október 14. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2013. október 14. 14:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2010. október 18. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2010. október 18. 1:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

Digitális Technika 2. Logikai Kapuk és Boolean Algebra

Digitális Technika 2. Logikai Kapuk és Boolean Algebra Digitális Technika 2. Logikai Kapuk és oolean lgebra Sütő József Egyetemi Tanársegéd Referenciák: [1] D.M. Harris, S.L. Harris, Digital Design and Computer rchitecture, 2nd ed., Elsevier, 213. [2] T.L.

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2015. október 12. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2015. október 12. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 200. május 4. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 200. május 4. 8:00 Az írásbeli vizsga időtartama: 80 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék FPGA & Verilog ismertetı BME Méréstechnika és Információs Rendszerek Tanszék FPGA-k FPGA: Field Programmable Gate Array programozható logikai áramkör Jelentısebb gyártók: Xilinx, Altera, Actel, Quicklogic,

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2008. május 26. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2008. május 26. 8:00 Az írásbeli vizsga időtartama: 20 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben