Újrakonfigurálható eszközök

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Újrakonfigurálható eszközök"

Átírás

1 Újrakonfigurálható eszközök 1. CPLD komplex programozható logikai eszközök 1

2 Tartalom Újrakonffigurálható eszközök: PAL, PLA, CPLD, FPGA Az ALTERA MAXII EPM240 CPLD bemutatása A C-M240 fejlesztői kártya Az USB Blaster profigramozó eszköz Az ALTERA Qartus Prime Lite fejlesztői környezet Új Qartus projekt létrehozása Áramkörtervezés hafigyományos rajzjelekkel Áramkörtervezés Verilog nyelven Áramkörtervezés VHDL nyelven 2

3 Újrakonfigurálható eszközök Anfigol mefignevezés: Profigrammable Lofigic Devices. A kombinációs lofigikai hálózatok és sorrendi hálózatok tervezésére használjuk. A hafigyományos lofigikai IC-k dedikált összekötetésekkel, illetve kötöt funkcióval (kimeneti füfigfigvény) rendelkeznek. A profigramozható lofigikai eszközökben ezek változtathatók: A felhasználó által egyszer programozható/konfigurálható logikai eszközök (OTP::: One Time Programmable), amelynél a gyártás során nem definiált funkció egyszer még megváltoztatható (ilyenek pl. a korai PAL, PLA eszközök) Többször, akár tetszőleges módon programozható (újrakonfigurálható) logikai eszközök (ilyenek pl. a korábbi GAL, vagy a mai modern CPLD és FPGA eszközök) CPLD Complex Programmable Logic Device FPGA Field Programmable Gate Array 3

4 PAL, PLA, CPLD, FPGA és FPGA 4

5 ALTERA MAX II CPLD család Az EPM240C100T5N CPLD IC az ALTERA (újabban Intel) MAX II termékcsaládba tartozik. Főbb jellemzői:240 db. lofigikai elem, kis fofigyasztású, olcsó CPLD 50 MHz órajel, max. 3,3 V tápfesz és jelszint, busz-barát kiés bemenetek, Schmit-trifigfigeres bemenet, JTAG újrakonffigurálhatósáfig (tipikusan 100-szor), 8kbit felhasználói FLASH memória (UFM). Logikai tömb (LAB) 10 logikai elemből áll EPM240 6x4x10 db logikai elem (LE) 5 Konfigurációs flash (CFM) UFM

6 Egy logikai elem felépítése LUT: Look up table (keresőtábla) A regiszter konfigurálható D, T, JK, vagy SR módba. 6

7 A logikai elemek működési módjai Az ALTERA MAX II lofigikai elemei kétféle módban működhetnek: Normál mód (általános logikai alkalmazásokhoz, kombinációs hálózatokhoz) Dinamikus aritmetikai mód (összeadó, számláló, akkumulátor, komparátor) Normál mód: 1 db 4-bemenetű LUT (Lookup Table) 7

8 A logikai elemek működési módjai Dinamikus aritmetikai mód: 4 db 2-bemenetű LUT 8

9 A Carry Select lánc használata A Carry-select lánc segítségével gyors átvitelképzés valósítható meg. 9

10 Az I/O modulok felépítése 10

11 A C-M240 fejlesztői kártya Gyártó: Shenzhen 21EDA Electronic Technology CPLD: Altera MAX II EPM240T100C5N Órajel: 50 MHz VDD: 3,3 V Perifériák: 8-digit kijelző, 8 LED, 4 +1 nyomógomb, 1 csipogó 11

12 C_M240 kapcsolási rajz I. 12

13 C_M240 kapcsolási rajz II. 3,3 V 3,3 V-os feszültségstabilizátor (5 V-os bemenet, kapcsolóval) EPM240T100C5N ALTERA MAX II 50 MHz oszcillátor 13

14 USB Blaster programletöltő Sok változata van, a lefigolcsóbb utánzat csupán efigy PIC18F14K50 mikrovezérlőből áll. Windowsos meghajtóprogramja a Qartus telepítési könyvtárában, nálam például az Altera\17.0\quartus\ drivers\usb-blaster mappában található. 14

15 Qartus Prime Lite fejlesztői környezet Az Intel (korábban ALTERA) Qartus Prime intefigrált fejlesztői környezete mindent tartalmaz, ami az FPGA/CPLD fejlesztésekhez szükséfiges (beleértve a szimulációt is). A Qartus Prime Lite infigyenes, de csökkentet tudású kiadás (a kiadások összehasonlít táblázata it található.) Letöltési link: htp://dl.altera.com/?edition=lite Az általunk használt EPM240 eszközhöz az alábbi komponensekre lesz szükséfig: Qartus Prime (free) ModelSim-Intel FPGA Edition MAX II, MAX V device support 15

16 Letöltések Windows vagy Linux kiadás válaszható Ezt a három szoftvert töltsük le! 16

17 A telepítés menete Töltsük le a Qartus Prime profigramot és a telepíteni kívánt kiefigészítő szofvereket (esetünkben a ModelSim-Intel FPGA Edition) efigy ideifiglenes könyvtárba! Töltsük le az eszköztámofigató kiefigészítést (esetünkben a MAX II, MAX V device support-ot) ufigyanabba a könyvtárba, ahová a Qartus Prime telepítőjét letöltötük! Indítsuk el a QartusLiteSetup windows.exe állományt! Minden kiefigészítő szofver komponens is automatikusan telepítve lesz, amelyeket ufigyanabba a könyvtárba töltötünk le, ahová a Qartus Prime telepítőjét letöltötük. 17

18 Az első lépések A nyitó képernyőkép 18

19 Új projekt létrehozása Indítsuk el a File > New Project Wizard menüpont aktiválásával az új projektet konffiguráló tündért! Hozzuk létre és adjuk mefig az új projekt munkakönyvtárát! Adjunk nevet a projektnek, majd katintsunk a Next figombra! 19

20 Új projekt konfigurálása Válasszuk az Empty project (üres projekt) opciót! Katintsunk a Finish figombra! Katintsunk kétszer a Next figombra (átlépjük az Add Files lapot)! Válasszuk ki a MAX II családot! Válasszuk a Specifc device opciót! Válasszuk ki az EPM240T100C5 eszközt! 20

21 Áramkörtervezési lehetőségek A Qartus Prime háromféle tervezési módot támogat: Kapcsolási rajz Verilog HDL Példa: Egyszerű kombinációs logika VHDL Verilog: module LED_NOT_KEY ( A, F ); input KEY_IN; output LED_OUT; assign LED_OUT = ~KEY_IN; endmodule A következőkben ezeket a lehetőségeket vesszük sorraa VHDL: entity LED_NOT_KEY is port ( key_in : in std_logic ; led_out : out std_logic ); end LED_NOT_KEY; architecture key_led_arch of LED_NOT_KEY is begin led_out <= not key_in; end architecture; 21

22 Áramkörtervezés diagramszerkesztővel Hozzunk létre efigy kapcsolási rajzot a File > New > Block diagram/schematic fle menüpont kiválasztásával! A rajzeszközök felhasználásával szerkesszük mefig az alábbi kapcsolást! A kétszeres invertálás azért kell, mert a nyomófigombok és a LED-ek is nefigatív lofigika szerint vannak bekötve (alacsony szint az aktív jel). Ha készen van a rajz, mentsük el az (foppy ikonra katintva)! Kapuáramkörök I/O kivezetések Összekötés 22

23 A nem használt kivezetések konfigurálása Mielőt tovább lépnénk, mefig kell adni, hofigy a nem használt kivezetések milyen üzemmódba lefigyenek beállítva. Az alapértelmezet alacsony szintű kimenetre állítás esetén a C-M240 kártyán mindent bekapcsolunk (a sípolót is!). Ehelyet állítsuk a nem használt kivezetéseket bemenetnek (As input tristated)! Ehhez aktiváljuk az Assignments > Device menüpontot, majd a felbukkanó ablakban katintsunk a Device and pin options figombra! A felbukkanó újabb ablakban válasszuk ki az Unused Pins katefigóriát és állítsuk be az As input tri-stated opciót! Zárjuk be a felbukkanó ablakokat az OK figombra katintva! 23

24 Kivezetések hozzárendelése A hozzárendelés célja, hofigy mefigadjuk: a KEY_IN és a LED_OUT csomópontok mely kivezetésekhez csatlakozzanak. A 8 db LED katódjai rendre az sorszámú kivezetésekhez kapcsolódnak, a kivezetés alacsony szintre húzásával figyújthatók ki. Mi most az 58. kivezetéshez tartozó LED-et fofigjuk használni. A K4 K1 nyomófigombok rendre a sorszámú kivezetésekhez kapcsolódnak, s lenyomáskor alacsony szintre húzzák a mefigfelelő lábat. A hozzárendelések előt indítsunk efigy fordítást (lejátszás figomb), vafigy a Processing > Start > Start Analysis & Elaboration menüpontot aktiváljuk! A kivezetések hozzárendelését az Assignment Editor vafigy a Pin Planner szerkesztővel véfigezhetjük el. 24

25 Az Assignment Editor használata Aktiváljuk az Assignments > Assignment Editor menüpontot! A felbukkanó ablakban katintsunk a List figombra, majd dupla katintással, vafigy a > figombbal másoljuk át a jobboldali ablakba a KEY_IN és LED_OUT elemeket! Zárjuk be a felbukkanó ablakot az OK figombbal! Katintsunk az új ablak baloldalán a <<new>> dobozra! Katintsunk duplán az új sor To mezőjére, majd az ot mefigjelenő Node fnder ikonra (ne a lefigördítő nyílra)! 25

26 Az Assignment Editor használata Töltsük ki a táblázat Assignment Name és Value celláit az alábbiak szerint, majd mentsük el a hozzárendeléseket a foppy ikonra katintva, vafigy a File > Save menüpontot aktiválva! Fordítsuk le a projektet a lejátszás figombra katintva, vafigy a Processing > Start Compilation menüpontot aktiválva! 26

27 A konfigurációs kód letöltése Sikeres fordítás után a Tools > Progammer menüpont aktiválásával indítsuk el a letöltő profigramot! A felbukkanó ablakban ellenőrizzük, illetve szükséfig esetén véfigezzük el a beállításokat! A Hardver Setup figombnál az USB Blaster eszköz lefigyen beállítva! Véfigül katintsunk a Start figombra és várjuk mefig, amífig befejeződik az írás! Az ablak alsó felében az EPM240T100 eszköz lefigyen kiválasztva! A File rovatban az output_fles/project01.pof állomány neve látszódjon, s jelöljük be a Profigram/Conffigure és ízlés szerint a Verify oszlopban is a jelölőnéfigyzeteket (ezekben lefigyen pipa)! 27

28 A konfigurációs kód letöltése 28

29 Áramkörtervezés Verilog nyelven A project02 projekt létrehozása és beállítása hasonlóan történik, mint az előzőekben, csak áramköri rajz létrehozása helyet a File > New menüben efigy Verilog HDL hardverleíró (szövefiges) állományt hozunk létre. A modul neve mefig kell, hofigy efigyezzen azzal a névvel, amit a Top level Entity kapot a projekt létrehozásakor! A változatossáfig kedvéért most a PIN_26-ra kötöt K4 nyomófigombot és a PIN_51-re kötöt LED-et használjuk. 29

30 Áramkörtervezés VHDL nyelven A project03 projekt létrehozása efigy VHDL hardverleíró állományt hoztunk létre. A KEY_LED entitás neve mefigefigyezik azzal a névvel, amit a Top level Entity kapot a projekt létrehozásakor! Az első projekthez hasonlóan most is a PIN_29-re kötöt K1 nyomófigombot és a PIN_58-re kötöt LED-et használjuk. 30

31 Felhasznált irodalom ALTERA: MAX II Device Handbook Véfigh János: Bevezetés a Verilog hardverleíró nyelvbe Véfigh János: Sefigédeszközök az Altera DE2 tanulói készlethez Véfigh János: Bevezetés a Qartus II V13 fejlesztő rendszerbe 31

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 7. Hétszegmenses LED kijelző multiplex vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

U42S Felhasználási példák Gitárjáték rögzítése

U42S Felhasználási példák Gitárjáték rögzítése U42S Felhasználási példák Gitárjáték rögzítése Az U42S gyors használatbavételéhez kövesse az itt leírtakat. Ebben a példában Cubase LE 4-et használunk, de az U42S ugyan úgy használható más hangszerkesztőkkel

Részletesebben

Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel

Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel 1 Ajánlott irodalom Aduino LLC.: Arduino Language Reference ATMEL: ATmega328p mikrovezérlő adatlapja Brian W. Kernighan,

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 6. Véges állapotgépek: közlekedési lámpa vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog

Részletesebben

FortiClient VPN-IPSec kliens konfigurációs segédlet

FortiClient VPN-IPSec kliens konfigurációs segédlet FortiClient VPN-IPSec kliens konfigurációs segédlet 1. Letöltési hely A kliens szoftver a következő helyről tölthető le: http://www.forticlient.com/downloads 2. Kompatibilitás A kliens a kompatibilátási

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

Tartalom jegyzék 1 BEVEZETŐ 2 1.1 SZOFTVER ÉS HARDVER KÖVETELMÉNYEK 2 2 TELEPÍTÉS 2 3 KEZELÉS 5

Tartalom jegyzék 1 BEVEZETŐ 2 1.1 SZOFTVER ÉS HARDVER KÖVETELMÉNYEK 2 2 TELEPÍTÉS 2 3 KEZELÉS 5 Tartalom jegyzék 1 BEVEZETŐ 2 1.1 SZOFTVER ÉS HARDVER KÖVETELMÉNYEK 2 2 TELEPÍTÉS 2 3 KEZELÉS 5 3.1 ELSŐ FUTTATÁS 5 3.2 TULAJDONOSI ADATLAP 6 3.3 REGISZTRÁLÁS 6 3.4 AKTIVÁLÁS 6 3.5 MÉRÉS 7 3.5.1 ÜGYFÉL

Részletesebben

A ChipScope logikai analizátor

A ChipScope logikai analizátor A ChipScope egy, az FPGA tervbe integrálható logikai analizátor, amely az FPGA terv belső jeleinek vizsgálatára használható Előnye a normál logikai analizátorhoz képest Az igényeknek megfelelően konfigurálható

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

802.11b/g WLAN USB adapter. Wi-Fi detektorral. Gyors telepítési útmutató

802.11b/g WLAN USB adapter. Wi-Fi detektorral. Gyors telepítési útmutató CMP-WIFIFIND10 802.11b/g WLAN USB adapter Wi-Fi detektorral Gyors telepítési útmutató 802.11b/g WLAN USB adapter Wi-Fi detektorral Gyors telepítési útmutató *A Wi-Fi Detektor feltöltése: 1. Vegye le a

Részletesebben

A Szoftvert a Start menü Programok QGSM7 mappából lehet elindítani.

A Szoftvert a Start menü Programok QGSM7 mappából lehet elindítani. Telepítés A programot a letöltött telepítőprogrammal lehet telepíteni. A telepítést a mappában lévő setup.exe fájlra kattintva lehet elindítani. A telepítő a meglévő QGSM7 szoftver adatbázisát törli. Ezután

Részletesebben

Bluetooth Software frissítés leírása Windows 7 rendszerhez IVE-W530BT

Bluetooth Software frissítés leírása Windows 7 rendszerhez IVE-W530BT Bluetooth Software frissítés leírása Windows 7 rendszerhez IVE-W530BT 1 Bevezetés Ez a kézikönyv leírja a szükséges lépéseket a fejegység Bluetooth firmware frissítéséről. Olvassa el az összes figyelmeztetést

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05+ Geodéziai Feldolgozó Program

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05+ Geodéziai Feldolgozó Program A GeoEasy telepítése GeoEasy V2.05+ Geodéziai Feldolgozó Program (c)digikom Kft. 1997-2010 Tartalomjegyzék Hardver, szoftver igények GeoEasy telepítése A hardverkulcs Hálózatos hardverkulcs A GeoEasy indítása

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

WINDOWS TELEPÍTÉSI ÉS AKTIVÁLÁSI ÚTMUTATÓ A FOTOBETYAR.HU - PHOTOSHOP PLUGINJEIHEZ

WINDOWS TELEPÍTÉSI ÉS AKTIVÁLÁSI ÚTMUTATÓ A FOTOBETYAR.HU - PHOTOSHOP PLUGINJEIHEZ WINDOWS TELEPÍTÉSI ÉS AKTIVÁLÁSI ÚTMUTATÓ A FOTOBETYAR.HU - PHOTOSHOP PLUGINJEIHEZ Köszöntünk! Jó döntést hoztál, hogy az általunk fejlesztett plugineket választottad, nézzük is meg gyorsan, hogyan tudod

Részletesebben

Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán

Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán A QGIS program GPS eszközök modulja segítségével kétirányú kommunikációt folytathatunk a navigációs GPS vevőnkkel.

Részletesebben

Kézikönyv ABAS-TOOLS használata

Kézikönyv ABAS-TOOLS használata Kézikönyv ABAS-TOOLS használata Tartalomjegyzék 1 AJO - ABAS TOOLS... 5 2 NEW PROJECT... 8 3 AJO - ABAS TOOLS... 11 4 IMPORT... 13 5 AJO - ABAS TOOLS... 16 6 AJO - PROBA/OW1/PROBAERP.FOP - ABAS TOOLS...

Részletesebben

Image Processor BarCode Service. Felhasználói és üzemeltetői kézikönyv

Image Processor BarCode Service. Felhasználói és üzemeltetői kézikönyv Image Processor BarCode Service Áttekintés CIP-BarCode alkalmazás a Canon Image Processor programcsomag egyik tagja. A program feladata, hogy sokoldalú eszközt biztosítson képállományok dokumentumkezelési

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Bevezetés a QGIS program használatába Összeálította dr. Siki Zoltán

Bevezetés a QGIS program használatába Összeálította dr. Siki Zoltán Bevezetés Bevezetés a QGIS program használatába Összeálította dr. Siki Zoltán A QGIS program egy nyiltforrású asztali térinformatikai program, mely a http://www.qgis.org oldalról tölthető le. Ebben a kis

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal

Részletesebben

Vegyes témakörök. ARDUINO a kispénzű amatőrök PLC-je. Hobbielektronika csoport 2017/2018. Debreceni Megtestesülés Plébánia

Vegyes témakörök. ARDUINO a kispénzű amatőrök PLC-je. Hobbielektronika csoport 2017/2018. Debreceni Megtestesülés Plébánia Vegyes témakörök ARDUINO a kispénzű amatőrök PLC-je 1 Az előadás tartalma PLC vagy mikrovezérlő? Egyszerű PLC helyetesítő Arduinoval A be- és kimenetek védelme optocsatolóval A PLC Ladder Simulator alkalmazás

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05 Geodéziai Feldolgozó Program

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05 Geodéziai Feldolgozó Program A GeoEasy telepítése GeoEasy V2.05 Geodéziai Feldolgozó Program (c)digikom Kft. 1997-2008 Tartalomjegyzék Hardver, szoftver igények GeoEasy telepítése A hardverkulcs Hálózatos hardverkulcs A GeoEasy indítása

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor

Részletesebben

2. Végezzük el a tömörített fájlok kicsomagolását a számítógépünkre.

2. Végezzük el a tömörített fájlok kicsomagolását a számítógépünkre. pcon Planner látványtervezõ program telepítése 1. Mentsük le a számítógépünkre a pcon Planner látványtervezõ program telepítõ programját és a gyártói bútor katalógusok installálásához szükséges mappákat.

Részletesebben

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók Bemutatás Leírás A legújabb fejlesztésű STIM DSCR univerzális vevőegység a DSC egyirányú vezeték nélküli eszközeinek a jelzéseit fogadja és azokat 5 darab szabadon konfigurálható relével bármilyen központra

Részletesebben

Bérprogram vásárlásakor az Ügyfélnek e-mailben és levélben is megküldjük a termék letöltéséhez és aktiválásához szükséges termékszámot.

Bérprogram vásárlásakor az Ügyfélnek e-mailben és levélben is megküldjük a termék letöltéséhez és aktiválásához szükséges termékszámot. Telepítés Bérprogram vásárlásakor az Ügyfélnek e-mailben és levélben is megküldjük a termék letöltéséhez és aktiválásához szükséges termékszámot. A programot honlapunkról, az alábbi linkről tudják letölteni:

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

Termékinformáció Adapter A: Video C: USB B: SVHS D: Jelzőlámpa az USB-porthoz csatlakoztatva világít

Termékinformáció Adapter A: Video C: USB B: SVHS D: Jelzőlámpa az USB-porthoz csatlakoztatva világít Termékinformáció Adapter A: Video C: USB B: SVHS D: Jelzőlámpa az USB-porthoz csatlakoztatva világít A Video Editor telepítése Kapcsolja be a számítógépet, és csatlakoztassa a Video Editor-t egy szabad

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használ

Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használ Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használható: USB porttal rendelkező PC Microsoft Windows 98,

Részletesebben

BaBér. Bérügyviteli rendszer. Telepítési segédlet 2014.

BaBér. Bérügyviteli rendszer. Telepítési segédlet 2014. BaBér Bérügyviteli rendszer Telepítési segédlet 2014. Tartalom 1. Ajánlott konfiguráció... 3 2. A BaBér és az SQL2005 szerver telepítése... 5 3. A BaBér program és az SQL2005-ös adatbázis kezelő telepítése...

Részletesebben

MOME WiFi hálózati kapcsolat beállítása 2010. február 25.

MOME WiFi hálózati kapcsolat beállítása 2010. február 25. MOME WiFi hálózati kapcsolat beállítása 2010. február 25. A MOME wifi hálózatában három hálózati azonosító (SSID) került beállításra: 1. SSID: guest Titkosítatlan hálózati forgalom, szabad csatlakozási

Részletesebben

Vodafone Mobile Connect telepítése

Vodafone Mobile Connect telepítése Vodafone Mobile Connect telepítése Kérjük ne csatlakoztassa a Vodafone Mobile Connect eszközt a Mac számítógépéhez. Ha esetleg már csatlakoztatta az adatkártyát vagy a modemet a Mac számítógépéhez, akkor

Részletesebben

Telepítési útmutató a SMART Response 2009 szoftverhez

Telepítési útmutató a SMART Response 2009 szoftverhez Telepítési útmutató a SMART Response 2009 szoftverhez Tisztelt Felhasználó! Ezt a dokumentációt abból a célból hoztuk létre, hogy segítse Önt a telepítés során. Kövesse az alábbi lépéseket, és a telepítés

Részletesebben

NINJA kezelői program letöltése és installálása

NINJA kezelői program letöltése és installálása NINJA kezelői program letöltése és installálása A regisztrálás, illetve feltöltés után Ön kapott egy e-mailt tőlünk, melyben leírtuk Önnek a szolgáltatás eléréséhez nélkülözhetetlen, fontos adatokat. A

Részletesebben

1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7

1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7 1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7 1.1. Új virtuális gép és Windows Server 2008 R2 Enterprise alap lemez létrehozása 1.2. A differenciális lemezek és a két új virtuális

Részletesebben

Telepítési útmutató a SMART Notebook 10 SP1 szoftverhez

Telepítési útmutató a SMART Notebook 10 SP1 szoftverhez Tisztelt Felhasználó! Telepítési útmutató a SMART Notebook 10 SP1 szoftverhez Ezt a dokumentációt abból a célból hoztuk létre, hogy segítse Önt a telepítés során. Kövesse az alábbi lépéseket, és a telepítés

Részletesebben

Tanúsítvány feltöltése Oberthur kártyára és Oberthur SIM termékre

Tanúsítvány feltöltése Oberthur kártyára és Oberthur SIM termékre Tanúsítvány feltöltése Oberthur kártyára és Oberthur SIM termékre Windows XP, Vista és Windows 7 operációs rendszeren 1(6) 1. Tartalomjegyzék 1. Tartalomjegyzék... 2 2. Bevezető... 3 3. AuthentIC Manager

Részletesebben

Tanúsítvány feltöltése Micardo kártyára

Tanúsítvány feltöltése Micardo kártyára Windows operációs rendszeren Tanúsítvány feltöltése Micardo kártyára A termék értékesítésének befejezése miatt a dokumentáció nem kerül frissítésre a továbbiakban. A termék nem támogatja az SHA-256 kriptográfiai

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

Gyökértanúsítványok telepítése Windows Mobile operációs rendszerekre

Gyökértanúsítványok telepítése Windows Mobile operációs rendszerekre Gyökértanúsítványok telepítése Windows Mobile operációs rendszerekre Windows Mobile 2003 / 2003 SE / WM 5 / WM6 rendszerekre 1(8) 1. Tartalomjegyzék 1. Tartalomjegyzék... 2 2. Bevezető... 3 3. A Windows

Részletesebben

Netis Vezetékes ADSL2+, N Modem Router Gyors Telepítési Útmutató

Netis Vezetékes ADSL2+, N Modem Router Gyors Telepítési Útmutató Netis Vezetékes ADSL2+, N Modem Router Gyors Telepítési Útmutató Modell szám: DL4201 Tartalomjegyzék 1. A csomag tartalma... 1 2. Hardware csatlakoztatása... 1 3. A modem webes felületen történő beüzemelése...

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

VARIO Face 2.0 Felhasználói kézikönyv

VARIO Face 2.0 Felhasználói kézikönyv VARIO Face 2.0 Felhasználói kézikönyv A kézikönyv használata Mielőtt elindítaná és használná a szoftvert kérjük olvassa el figyelmesen a felhasználói kézikönyvet! A dokumentum nem sokszorosítható illetve

Részletesebben

Telepítési útmutató a SMART Notebook 10.6 oktatói szoftverhez

Telepítési útmutató a SMART Notebook 10.6 oktatói szoftverhez Telepítési útmutató a SMART Notebook 10.6 oktatói szoftverhez Tisztelt Felhasználó! Ezt a dokumentációt abból a célból hoztuk létre, hogy segítse Önt a telepítés során. Kövesse az alábbi lépéseket, és

Részletesebben

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN 14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN A digitális berendezések kijelzőjének kezelésénél gyakori feladat a kijelzett tartalom mozgatása valamilyen szabály szerint. Ebben a példában

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

MICROCAP PROGRAMRENDSZER HASZNÁLATA

MICROCAP PROGRAMRENDSZER HASZNÁLATA 1 MICROCAP PROGRAMRENDSZER HASZNÁLATA A mérést végezte: Csoport: A mérés időpontja: A méréshez felhasznált eszközök: -Számítógépes mérés -printer A vizsgált áramkör neve:...... A mérésvezető tanár tölti

Részletesebben

T-Mobile Communication Center Készülékek telepítése a TMCC segítségével

T-Mobile Communication Center Készülékek telepítése a TMCC segítségével T-Mobile Communication Center Készülékek telepítése a TMCC segítségével Tartalomjegyzék 1 Készülékek / mobiltelefonok telepítése 3 1.1 Infravörös kapcsolat Win2000 és WinXP operációs rendszerrel 3 1.2

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

EL-USB-1 EL-USB-2. Az adatrögzítő készenléti állapotban van. Adatrögzítés, nincs riasztás. Dupla villanás. Egy villanás. Magas riasztási fokozat

EL-USB-1 EL-USB-2. Az adatrögzítő készenléti állapotban van. Adatrögzítés, nincs riasztás. Dupla villanás. Egy villanás. Magas riasztási fokozat HASZNÁLATI ÚTMUTATÓ EL-USB-1 Dupla villanás Az adatrögzítő készenléti állapotban van Adatrögzítés, nincs Dupla villanás Magas i fokozat Alacsony i fokozat/figyelmeztetés Dupla/ egy villanás A memória megtelt

Részletesebben

PDF. Tartalomjegyzék 1/21

PDF. Tartalomjegyzék 1/21 PDF Napjainkban a publikálás elterjedt formája a PDF dokumentumok előállítása. A weben ez szinte szabvánnyá vált hosszú dokumentumok esetén. Akkor is nagyon hasznos lehet, ha a gondosan megformázott word

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 16. Cypress PSOC 5LP új alkatrészeket definiálunk Verilog nyelven 1 Felhasznált irodalom és segédanyagok Cypress: CY8C58LP FamilyDatasheet Cserny István: PSOC 5LP Mikrokontrollerek

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Bluetooth Software frissítés leírása

Bluetooth Software frissítés leírása Bluetooth Software frissítés leírása Windows 7 rendszerhez Alkalmazandó 2012 utáni termékek esetén CDE-13xBT & CDE-W235BT & CDA-137BTi Ez a kézikönyv leírja a szükséges lépéseket a fejegység Bluetooth

Részletesebben

RIEL Elektronikai Kft v1.0

RIEL Elektronikai Kft v1.0 DeskCamera v1.6.1 1. DESKCAMERA A DeskCamera segítségével lehetőség nyílik a számítógép monitorán megjelenő képet rögzítő (NVR, DVR) segítségével rögzíteni. 1.1. TECHNIKAI ADATOK Maximális monitor szám:

Részletesebben

Felhasználói leírás a DimNAV Server segédprogramhoz ( )

Felhasználói leírás a DimNAV Server segédprogramhoz ( ) Felhasználói leírás a DimNAV Server segédprogramhoz (1.1.0.3) Tartalomjegyzék Bevezetés...3 1. Telepítés...3 2. Eltávolítás...4 Program használata...5 1. Kezdeti beállítások...5 2. Licenc megadása...6

Részletesebben