11. DIGITÁLIS ELEKTRONIKA

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "11. DIGITÁLIS ELEKTRONIKA"

Átírás

1 E fejezetben a mikroszámítógépek integrált áramköri elemeinek villamos tulajdonságaival, technológiájával és logikai kapcsolásaival foglalkozunk Statikus és dinamikus villamos jellemzők Logikai szintek z digitális áramkörökben a logikai "0" és "1" szinteket hordozó fizikai jellemző legtöbbször a feszültség. zokat a feszültségszinteket, melyekhez logikai értékeket rendelhetünk, logikai szinteknek nevezzük. z áramköri megvalósítás során a valóságban az elemek szórása, hőmérsékleti változások, terhelés, stb. miatt a feszültségszintek helyett feszültségtartományok tartoznak egy - egy logikai szinthez. Pozitív logikai hozzárendelés esetén az "1" szinthez tartozik a pozitívabb feszültségszint, a "0"-hoz a negatívabb, míg negatív logikánál fordítva. Áramköri leírásnál gyakran a 0 és 1 helyett szinonim módon H (High = magas) és L (Low = alacsony) jeleket rendelünk. z 11.1.ábrán ezek értelmezése látható. Összefüggés B Y L L L L H H H L H H H H Pozitív logika esetén B Y ábra Negatív logika esetén B Y Zavarok, zavarvédettség, transzfer karakterisztika Elektronikus áramköröknél gyakori behatásként jelentkezhetnek zavarok, melyek a környezetből származhatnak (villámlás, erősáramú vezetékek, stb. okozta hatások). Ha a zavarjelek amplitúdója elég nagy, akkor az áramkörök kimenetének állapotát is befolyásolhatják, megváltoztathatják, s így hibás működés jöhet létre. z áramkör e zavarokkal szembeni védettségét zavarvédettségnek nevezzük. Megkülönböztetünk statikus és dinamikus zavarokat. Előbbiek egyenáramú vagy lassan változó jellegűek, míg utóbbiak lefolyási ideje ill. időparaméterei az áramkör időzítési paramétereinek nagyságrendjébe esnek. Ennek megfelelően definiálható a statikus és dinamikus zavarvédettség (zavarérzéketlenség) fogalma. Fontos fogalom a transzfer karakterisztika, mely a kimenő feszültség ábrázolása a bemenő feszültség függvényében (általában inverterre adják meg) E karakterisztika a kimenetet mutatja a bemenet függvényében. Így a logikai 1 és 0 szintjei közötti állapotokról is felvilágosítást ad. Egy tipikus transzfer karakterisztika látható a 11.2 ábrán. K az ún.

2 11-2 komparálási pont, u k a komparálási feszültség. u 0 és u 1 a 0 és 1 szintek tipikus feszültségét jelentik. Látható, hogy u z0 = u k - u 0 ill. u z1 = u 1 - u k az a zavarfeszültség, amely még éppen nem okoz téves kimenetet. Belátható, hogy minél nagyobb e két érték, annál nagyobb az áramkör zavarérzéketlensége (zavarvédettsége). u ki u 1 u ki =f(u be ) u ki =u be K u k u 0 u z u 0 u k u 1 u be u be =u 0 +u z ábra Meghajtóképesség (Fan-out) z integrált áramkörök általában egy összetettebb kapcsolás részelemei. z egyik elem kimenete a másik elem bemenetére kapcsolódik. Minden digitális áramkör bemenete bemeneti impedanciájával terhelést jelent az azt megelőző fokozat kimenetére nézve. zt, hogy egy adott kimenet - a specifikációk megtartása mellett - hány szabványos bemenetet (az adott logikára jellemző ún. egységterhelést = fan-in-t) tud meghajtani, fan-out-nak nevezzük. Ez más és más lehet logikai 0 és 1 szinten. fan-out fogalma fenti értelmezésben (dc fan-out) egyenáramú tulajdonságot jelent. Ugyanakkor előfordulhat, hogy egyenáramú terhelés vonatkozásában megfelelő a kapcsolás (vagyis a kimenet által meghajtott szabványos bemenetek száma az előírtat nem haladja meg), ugyanakkor a terhelés(ek) - párhuzamosan kapcsolódó, és így összeadódó - kapacitásai a megengedettnél nagyobb késleltetést jelentenek, az áramkör sebességét csökkenti(k). Ezért célszerű tehát dinamikus szempontból is definiálni ill. meghatározni az áramkör fan-out-ját, ez az ún. ac fan-out. Míg tehát a dc fan-out a villamos terhelhetőségről nyújt információt, addig az ac fan-out azt mondja meg, hogy az áramkör milyen kapacitív terhelést (hány kapacitív egységterhelést) visel el úgy, hogy specifikált dinamikus paraméterei (sebesség, jelkésleltetés) nem szenvednek csorbát.

3 Jelterjedési idő (propagation delay) z áramkörök véges működési sebessége miatt a bemeneti jel megváltozását csak véges idővel követi a kimeneti jel megváltozása. Sőt, általában a kimenet H! L és L! H változása sem ugyanannyi idő alatt zajlik le. Előbbit t phl -lel (vagy t pdo -lal), utóbbit t plh -val (vagy t pd1 - gyel) jelöljük. jelterjedési idő fogalmát a két idő számtani átlagával definiáljuk (ld ábra).: t pd = t + t pd0 pd1 2 u be 100% 50% 0% t t pd0 t pd1 u ki 100% 50% 0% t ábra Disszipáció z áramkörök működésükhöz a tápfeszültségből áramot vesznek fel, így rajtuk teljesítmény disszipálódik ( P d ). Nem egyforma az áramkörök adott állapotbeli (adott bemenetek és ennek megfelelően megállapodott kimenetek) és állapotváltáskori (bemenet állapotának

4 11-4 megváltozása miatt az áramkör állapota, kimenetének állapota megváltozik) disszipációja. Előbbi a statikus, míg utóbbi a dinamikus disszipáció Jósági tényező Általában technológiák ill. áramköri logikák (ld. később) használják. z adott technológia vagy áramköri logika jellemző és elemi egységének (pl. NND vagy OR kapu), kapuja disszipációjának és jelkésleltetési idejének szorzataként (P d " t pd ) definiáljuk a jósági tényezőt. Mivel mind a disszipáció mind a jelkésleltetési idő minél kisebb értéke a kedvező, így annál jobb a logika, technológia, minél kisebb a jósági tényező. E két villamos paraméter azonban általában egymástól függetlenül nem módosítható, az egyik javítása gyakran a másik rovására megy (erre példát a későbbiekben is látni fogunk), ezért értékük többnyire kompromisszum eredménye Digitális integrált áramkörök (gyártástechnológia) digitális technikai hálózatok integrált áramköri megvalósítása a 60-as évektől kezdődően vált lehetővé. z igazán használható első integrált áramkörök a még ma is közkedvelt TTL (Transistor-Transistor-Logic : tranzisztor-tranzisztor-logika) áramkörök első reprezentánsai. z integrált áramkörrendszerekben hosszú ideig csak az ún. bipoláris tranzisztorokat használtak, az utóbbi két évtizedben azonban előtérbe kerültek az ún. MOS tranzisztoros integrált áramkörök. z integrált áramköri gyártástechnológiák részleteire nem kivánunk itt kitérni, most csak a létező típusok vázlatos összefoglalására szorítkozunk. digitális integrált áramköröknél - és minél nagyobb az integráltság foka annál jellemzőbb ez - a sok egyforma kapcsolóelem (aktív elem, tranzisztor) megvalósíthatósága az elsődleges. passzív elemek nagypontosságú megvalósítása kevésbé fontos szempont. E szempontok alapján kell eldönteni, hogy az alábbi három technológia közül melyik alkalmazása jöhet szóba Szigetelő alapú integrált áramkörök szigetelő alapú integrált áramkörök kétféle típusa létezik: a vékonyréteg és a vastagréteg integrált áramkörök. vékonyréteg áramköröknél az alaplemez üveg vagy kerámia lemez. Erre a lemezre (hordozóra) vákuumgőzöléssel vagy ún. katódporlasztással viszik fel a vezető-, ellenállás- és szigetelő-réteget. technológiai nehézségek miatt a gyakorlatban félvezető elemeket nem hoznak létre ily módon, hanem forrasztással ültetik be azokat utólagosan. E technológia előnye a stabil és jó minőségű ellenállás megvalósítása. Ugyancsak előnye a viszonylagos alacsony előállítási költség. Ugyanakkor a félvezető elemek ilyen hibrid jellegű megvalósítása kényelmetlen és a miniatürizálás ellen hat. vastagréteg áramköröknél a jól vezető ellenállás és szigetelő rétegeket a kerámia hordozóra szitanyomással viszik fel. vékonyréteg áramkörökhöz hasonlóan itt is utólag ültetik be a félvezető elemeket. E technológia a vékonyréteg technológiával azonos előnyökkel

5 11-5 rendelkezik. Ugyancsak az előző technológiához hasonlóan itt is drágák a beültetett elemek és a miniatürizálás korlátokba ütközik. z elmondottak miatt a fenti két gyártástechnológia nem alkalmas digitális integrált áramkörök létrehozására. (Megjegyezzük, hogy a nyolcvanas évek közepétől ismét előtérbe került a szigetelő alapú gyártástechnológia, a felületszerelt technológia-technika (SMT) alkalmazza ismeretanyagát. következő alfejezetben a harmadik, a monolit integrált áramköri gyártástechnológia kerül bemutatásra Monolit integrált áramköri technológia félvezető alapú monolit integrált áramköri gyártástechnológiáknál - ma még leggyakrabban - alaplemezként szilícium egykristályt alkalmaznak. Ezután egymást követő ún. diffúziós technológiai lépésekkel hozzák létre a tranzisztorokat, diódákat, ellenállásokat, stb. E nagyhőmérsékletű technológiai lépésekkel horizontális és vertikális struktúrákkal (geometriákkal) jönnek létre az elemek és az azokat összekötő "vezetékek. Kapacitások is létrehozhatók, záróirányba előfeszített p-n átmenetekkel, de ezek értékpontossága nehezen kézbentartható, és az elérhető kapacitás-értékek kicsik. monolit integrált áramkörökben nagyszámú tranzisztor, dióda hozható létre kis felületen (térfogatban) és fajlagosan olcsón. Igen pontosan ismételhetők (reprodukálhatók) az áramkörök és paramétereik, mivel minden alkatelemet egyszerre, ugyanazon technológiai lépés-sorozattal állítunk elő. z ellenállások kevésbé jól realizálhatók, mint a szigetelő alapú integrált áramköri technológiákban. Parazita áramköri elemek jelennek meg : ezek elsősorban az egyes alkatelemek szigetelését megvalósító p-n átmenetek parazita kapacitásai. technológia megvalósítása (elindítása) igen költséges, az elemgyártás csak nagy sorozat esetén hozza meg a fejlesztési költségeket. Ugyanakkor a logikai kapcsolások megvalósítása - mint említettük - nagyszámú kapcsoló elemet (tranzisztort) is igényelhet. tranzisztoroknak nagy pontossággal egyformáknak kell lenniük, e tényezők együtt csak monolit technológiánál rendelkezésre állnak. Kiindulási alapul (hordozó) Si egykristály szolgál z alapkristályt magas hőmérsékleten adalékolják ( szennyezik ). szükséges geometria kialakításához segédeszközként litográfiai módszereket és maratást használnak. z elemi lépések a következők: optikai úton maszk készítése, oxidréteg felvitele, fotoreziszt felvitele, megvilágítás+maratás, diffúzió (pl. bór, foszfor). z ábrán egy npn bipoláris tranzisztor kialakítása látható, míg a ábrán egy n csatornás MOS tranzisztoré. Ezen elemek más tárgy keretén belül kerülnek részletesen ismertetésre. Így ismertnek tételezzük fel, hogy míg előbbi működési elve a p-n átmenet, addig utóbbié a térvezérlés elve (MOS-FET : Metal-Oxide- Semiconductor- Field - Effect - Transistor = fémoxid félvezető térvezérlésű Tranzisztor). z ábrákon az adott elem jelképi jelölése is látható. Röviden - részletezés nélkül a két technológia összehasonlítása:

6 11-6 MOS: nagyobb elemsűrűség (nem kell elemek elszigetelésére helyet biztosítani, MOS: kevesebb technológiai lépés (a nagyhőmérsékletű fázisokat nehéz kézben tartani), MOS: nagyobb tisztasági igény a technológia felé. SiO 2 E B C n + p p + n p+ eltem etettréteg p típusú hordozó npn bipoláris tranzisztor ábra D G S SiO 2 n + n + n típusú vezetõ csatorna p típusú Sihordozó G D S n típusú növekményes ábra Integrált logikai áramkörrendszerek logikai összefüggések konkrét áramköri megvalósításával különböző logikák jöttek létre. Kezdetben főként a bipoláris logikák voltak jelen, de a technológiák fejlődésével előtérbe kerültek a MOS elemek is.

7 Bipoláris logikák. TTL áramköri elemcsaládok TTL elemek 1965-ben jelentek meg és hosszú ideig egyeduralkodók voltak a digitális technika területén. Ma is több továbbfejlesztett változata (pl. LS TTL) elterjedt katalógusáramköri elem. következőkben kissé részletesebben mutatjuk be a TTL alapáramkör működési elvét. z alapelem működését úgy érthetjük meg legkönnyebben, ha az ismert egyszerűsített tranzisztor-modell szerint a tranzisztor BE és BC átmenetét diódával helyettesítjük (11.6.a. és b. ábra). D 1, D 2 és R 1 ismert módon logikai ÉS funkciót valósítanak meg, T 2 és R 2 pedig - ugyancsak ismert módon - inverterként funkcionál. DS dióda a T 2 tranzisztor BE R 1 R 2 D 1 Y="B B DS T 2 D a. ábra diódájának nyitófeszültségét tolja el, kétszerezi meg. két szakasz így együtt NND kapu szerepét látja el. z 11.6.b. ábrán a diódák helyett a tényleges tranzisztorokat tüntettük fel. T 1 tranzisztor több-emitteres, vagyis így alakítható ki több bemenet. több-emitteres tranzisztor bipoláris technológiában könnyen megvalósítható. Ha a ábrát tekintjük, mindössze a p réteget szélesebbé kell nyújtanunk, és abba több n + zsebet kell kialakítani kivezetéssel együtt. R 1 R 2 Y B T 1 T b. ábra

8 11-8 gyakorlatban használt teljes NND kapu a ábrán látható. Felismerhetők az ábrán megismert NND kapu elemei: T 1, R 1,T 2 és R 1 (R 3 -mal kiegészítve), míg T 3, D, T 4 és R 4 pedig egy ellenütemű erősítős kimeneteti fokozatot alkot. 4 kω R 1 1,6 kω R Ω R 4 T 4 T 2 B T 1 D T 3 Y 1 kω R ábra működés kimerítőbb tárgyalásától eltekintünk, de a ábrán - részletes magyarázat nélkül - bemutatjuk a transzfer karakterisztikát és annak a bemeneti jel (pl. ) feszültségszintjétől függő négy szakaszát - a másik bemenetet 1 szintűnek tételeztük fel. 5 U ki [V] 4 3 I.(T 1,T 4 vezet,t 2,T 3 lezárt) II.(T 1,T 2,T 4 vezet,t 3 lezárt) III.(T 1,T 2,T 3,T 4 vezet) 2 1 IV.(T 1,T 2,T 3 vezet,t 4 lezárt) ábra U be [V] z Texas Instruments cég SN74.. sorozatának (normál TTL) rendszerében a szintek a következőképpen specifikáltak, garantáltak (11.9.a. ábra):

9 11-9 logikai 0 szint (L) logikai 1 szint (H) bemenet 0-0,8 V 2,0-5 V kimenet 0-0,4 V 2,4-5 V 11.9.a. ábra z SN 74.. sorozat elemei szabvány terhelhetősége ill. terhelése (11.9.b. ábra): logikai 0 szint (L) logikai 1 szint (H) bemenet -1,6 m 40 µ kimenet 16 m 400 µ 11.9.b. ábra Eszerint a kimenet szabványos terhelhetősége (fan-out) ezen áramkörcsaládon belül mind logikai 1 szinten (400µ /40µ= 10), mind logikai 0 szinten (16m / 1,6m = 10) N = 10. z áramkörcsaládra jellemző jelterjedési idő: t pd0 = 7..8 ns t pd1 = ns, így az átlagos jelterjedési idő: t pd = 10 ns. jelterjedési idő a terhelő kapacitás növekedésével természetesen növekszik. TTL áramköröknél a szabadonhagyott bemeneteket értelemszerűen H szintként érzékeli az áramkör, és ez a parazita kapacitás miatt ilyenkor csekély mértékben csökkentheti az átkapcsolási sebességet. Legcélszerűbb a fel nem használt bemeneteket logikai 1 szintre kötni: például ellenálláson keresztül a tápfeszültségre (+5V-ra). z SN74.. kapuáramkörök tápáram-felvétele statikus esetben (nyugalomban) a kimenet 1 állapotában 3 m, 0 állapotában 1 m, vagyis az átlagos disszipáció 10 mw. jósági tényező így 100 pj. Átkapcsolás közben jelentős tápáram-tranziens léphet fel (0!1 ill. 1!0 váltásnál). Egy továbbfejlesztett TTL sorozatnál a kapuáramkörök fogyasztásának csökkentése érdekében az áramkörökben szereplő ellenállásértékeket nagyobbra választották (adott tápfeszültség, nagyobb ellenállás!kisebb áram = kisebb disszipáció). Ez a sorozat a 74L.. (L: Low-power). Így a kapunkénti disszipáció 1 mw-ra csökken, ugyanakkor a jelterjedési idő 30 ns-re nőtt (jósági tényező: 30 pj). sebesség növelésének egyik útja az, hogy nagyobb áramokkal dolgozunk, így a terhelő kapacitások feltöltése ill. a telítésbe vitt tranzisztorok onnan való kihozatala gyorsabban történik. z áramok növelése az alkalmazott ellenállások csökkentése útján valósítható meg. z így létrehozott 74H.. sorozat elemi kapujának jelterjedési ideje 6 ns-ra csökkent, ugyanakkor azonban a disszipáció 22,5 mw-ra nőtt. sebességnövelés másik útja közönséges bipoláris tranzisztor helyett telítésgátolt bipoláris tranzisztor alkalmazása. z ilyen megoldású ún. Schottky-tranzisztoros (74S..) sorozatnál az

10 11-10 elemi kapu átlagos jelterjedési ideje 5 ns-ra csökken, a kapunkénti fogyasztás ugyanakkor 19 mw. Low-power (kis-fogyasztású) Schottky 74LS.. sorozat az 74L.. és 74S.. sorozat előnyeit kívánja egyesíteni, így a 74..-hez képest kisebb fogyasztás, de a 74L..-hoz képest nagyobb sebesség hozható létre. E család 9,5 ns-os jelterjedést és 2 mw-os kapunkénti fogyasztást eredményezett. z igen gyors 74F.. (F: Fast) sorozat 1980-ban jelent meg, de magas költsége miatt nem terjedt el. Jelterjedési ideje 2,5-3 ns, kapunkénti disszipációja 4 mw. továbbfejlesztett Schottky (dvanced Schottky, 74S..) és kisfogyasztású (dvanced Lowpower Schottky, 74LS..) elterjedtebbek. Előbbit 1,7 ns és 8 mw, utóbbit pedig 4 ns és 1,2 mw jellemzi. z egyes sorozatok jelszint és fan-out vonatkozásban nem teljesen kompatibilisek egymással. jelszintek definiálásában kicsi az eltérés, a fan-out fogalma pedigaz egyes áramkörcsaládokon belül értelmezett. Így pl. az LS TTL-nél megadott 20-as érték standard TTL terhelések esetén csak 5-ös fan-out-ot jelent. Ennek megfelelően különböző típusok összekapcsolása esetén megfontolt tervezésre van szükség. Ha sok tranzisztor és így sok kapu kap helyet egy adott térfogatban ez már jelentős hőtermelést okoz. Ezért szükségszerű a fajlagos (kapunkénti) disszipációt a lehető legkisebbre szorítani. bipoláris technológiai logikák kivétel nélkül ilyen gondokkal kűzdenek. Tételezzünk fel csak egy VLSI áramkört, amely - mai viszonyok között sem túl nagy számot jelentő ezer 1millió tranzisztort tartalmaz. Ez még az utoljára említett LS sorozat technológiáját is használva 100 vagy 1000 W nagyságrendű disszipációt jelentene. Ekkora disszipáció egy integrált chipben nem valósítható meg. Ezért a bipoláris áramkörök elsősorban az SSI - LSI kategóriákban játszanak nagy szerepet. Ez a témakörünk szempontjából azt jelenti, hogy mikroszámítógépeknél főleg kiegészítő, illesztő, meghajtó, stb. funkciókat látnak el a nagyobb bonyolultságú MOS integrált áramkörök mellett. TTL áramkörök esetén még egy fontos kérdésről kell szólni, ez a kimenetek típusai. leginkább használatos kimeneti típus a már megismert ellenütemű, ún. totem-pole kimenet. Emellett létezik és fontos szerepet kap a nyitott kollektoros kimenet is. Ennek megértéséhez tekintsük a ábrát. kimeneti tranzisztor szabadon hagyott kollektora módot ad arra, hogy több közösített ilyen kimenet összeköttetésével ún. huzalozott ÉS kapcsolatot alakítsunk ki. Ezáltal nem kell külön ÉS kaput használni a fokozat után. harmadik fontos kimenet az ún tri-state kimenet. tri-state háromállapotú kimenetet jelent, vagyis a megszokott H és L mellett egy harmadik, ún. nagyimpedanciás állapot is kialakítható. Ha az alap-inverternél lehetővé tennénk, hogy a kimeneti tranzisztorokat ne csak ellenütemben, hanem egymástól függetlenül is vezérelhessük, úgy létrehozható olyan kimeneti állapot, melynél mindkét kimeneti tranzisztor ki van kapcsolva. Ekkor a kimenet mintegy lekapcsolódnak a következő fokozat bemenetéről. Vagyis ilyenkor nagyimpedanciás kapcsolat jön létre az elem és a környezete között. Ez olyan kapcsolástechnikában igen kedvező, ahol több kimenetet kell egy vonalra kötni, de természeten egyidőben csak egy aktív (pl. számítógépek adat-, cím- és vezérlővezetékei: buszok).

11 11-11 R 1 R 2 R t B T 1 T 2 R 3 T 3 Y R 1 R 2 D C T 1 T 2 R 3 T ábra Texas Instruments cég SN sorozatú digitális integrált áramkörei több hőmérséklettartományú kivitelben készülnek: SN74... sorozat (normál, kommersz, ipari kivitel) o C SN54... sorozat (kiterjesztett ipari kivitel) -25 o C o C SN84... sorozat (katonai kivitel) -55 o C o C z SN-t követő 2 szám után 2 vagy 3 számjegy következik, amely a funkciónak megfelelő típust jelöli (pl. 4 db 2 bemenetű ÉS kapu normál ipari kivitelben: SN7400, 2 db újraindítható monostabil elem katonai kivitelben: SN84123, stb.). mennyiben valamelyik tovbbfejlesztett elemcsaládról van szó, úgy az SN és az azt követő 2 számjegy után valamint a típust jelölő 2 vagy 3 számjegy elé kerül az áramkörcsalád jele (pl. LS: SN74LS00, stb.). B. Egyéb bipoláris logikák z egyéb bipoláris logikák közül az ECL logikát érdemes megemlíteni. z ECL (Emitter Coupled Logic: emitter csatolt logika) a TTL logikákhoz képest abban a fontos tényben tér el, hogy itt a tranzisztorok nincsenek telítésbe vezérelve : vagy lineáris tartományban működnek vagy ki vannak kapcsolva. Ebből adódóan gyors működésűek, ugyanakkor a fogyasztásuk igen nagy - vagyis kevéssé integrálhatók. logikai 1 és 0 szint kis távolsága miatt zavarvédettségük kicsi. zokban az alkalmazásokban ahol létfontosságú volt az igen nagy sebesség (és csak másodlagos a nagyobb térfogat és nagyobb disszipáció), pl. a korábbi számítógépek sok esetében, ott ECL áramköröket alkalmaztak.

12 11-12 Ezen alkalmazások ma már eléggé háttérbe szorultak a jobban integrálható technológiák (MOS) és a számítógép-architektúrák gyors fejlődése (többprocesszoros rendszerek, pipeline architektúrák, Harvard struktúra, stb.) miatt MOS áramköri logikák. n-mos és p-mos áramkörök MOSFET (Metal Oxid Semiconductor Field Effect Transistor : fémoxid félvezető térvezérlésű tranzisztor) működésének tárgyalása nem ezen anyag témája, annak ismeretét feltételezzük. z n-csatornás MOS tranzisztort a ábra mutatta be. z n-csatornás MOS tranzisztoroknál az elektronok, a p-csatornásoknál a lyukak az aktív töltéshordozók, ezért ezen elemek között 3:1 a mozgékonyság-arány, így az n-csatornás típus lényegesen gyorsabb integrált áramkört eredményez. digitális integrált áramköri MOS logikáknál a kezdeti p-csatornás chipeket teljes mértékben kiszorították az n- csatornás chipek (most még nem beszéltünk a CMOS elemekről, ahol mindkét típus együtt kap helyet). MOS áramkörök bemenő ellenállása igen nagy (gyakorlatilag végtelen nagy), mivel a bemenetet (Gate) szigetelő réteg választja el a további részektől. MOS elemek sebessége általában kisebb mint a bipoláris elemeké. Ennek elsősorban az az oka, hogy nagyobb impedanciákkal és (így) kisebb áramokkal dolgoznak mint a bipoláris eszközök. szórt és terhelő kapacitások nagyobb értékűek és átkapcsoláskor a kis áramok csak lassabban tudják e kapacitásokat kisütni ill. feltölteni, ezért kisebb működési sebességet tesznek lehetővé. nagy bemeneti impedancia elhanyagolható bemenő áramot is jelent (pl. n nagyságrend), így a dc fan-out fogalma egyenáramú szempontból a gyakorlatban nem értelmezhető: vagyis a terhelhetőség egyenáramú szempontból nem korlátozott. Ugyanakkor az említett kapacitív okok miatt sok terhelő bemenet jelentős sebességcsökkenést eredményezhet, vagyis az ac fan-out a meghatározó. Kezdetben a MOS elemek 3 tápfeszültségről működtek (+5V, -5V, +12V: pl. i8080 mikroprocesszor is:), ami igen kényelmetlen volt. Emellett ez kimeneti kompatibilitási problémákat is felvetett (jelszint és terhelhetőség) az egyéb unipoláris tápfeszültségű (pl. TTL) áramkörökhöz kapcsolódásnál. későbbi n-mos elemeknél ez már csak terhelhetőségi kérdéssé redukálódott, az pedig a kivezetési pontok helyes tervezésével egyszerűen orvosolható. MOS tranzisztoroknál az alapelemet képező inverterben a vezérlő (meghajtó=driver) tranzisztor és terhelés egyaránt aktív elem, tranzisztor. terhelő tranzisztor és a tápfeszültségek használati módjától függően 3 inverter-típus létezik: telítéses, trióda típusú és kiürítéses terhelésű inverter (ld a., b. és c. ábra). Telítéses és trióda típusú inverter (az elnevezések azt mutatják, hogy a meghajtó tranzisztor mely tartományban üzemel) esetén a két tranzisztor azonos vezetési típusú, a terhelés vezérlő elektródáját a D elektródára ill. a tápfeszültségnél nagyobb potenciálú pontra kötjük. Hátrányuk a kis terhelhetőség, aszimmetrikus le- és felfutási késleltetési idők, kis sebesség, és a tápfeszültségtől jelentősen eltérő 0 és 1 jelszintek. Előnyük az egyszerű technológia. kiürítéses terhelésű inverternél a terhelő tranzisztor a növekményes vezérlő tranzisztorral szemben kiürítéses típusú. megoldás hátránya mindössze a bonyolultabb technológia (és így fajlagosan drágább eszköz), előnye viszont az, hogy egy tápfeszültségről üzemel, a kimeneti 1 szint megegyezik a tápfeszültséggel, közel azonosak a fel és lefutási késleltetések és gyors a működés.

13 n típusú növekm ényes n típusú növekm ényes n típusú kiürítéses Y = n típusú növekm ényes Y = n típusú növekm ényes Y = n típusú növekm ényes a. b c ábra Egy n-mos elemekből megvalósított NND és egy NOR kapu a a. ill. b. ábrán látható. Működésük megértése egyszerű. Y= + B + C B C a. ábra Y = * B * C B C b. ábra

14 11-14 MOS kapcsolástechnika fontos előnye a tri-state elemek kényelmes kialakítási lehetősége. Ezt a ábra alapján könnyen mi is beláthatjuk. * E ábra kimeneti két tranzisztort nem ellenütemben, hanem külön-külön vezéreljük, s így mindkettő kikapcsolásakor (E=1) a kimenet a következő fokozatokról le van választva (nagy impedancia jelenik meg - a kikapcsolt MOS tranzisztorok miatt - a kimeneti pont és bármelyik tápfeszültség között). E=0 esetén pedig * =. B. Komplementer MOS (CMOS) áramköri logikák p- és n-típusú MOS áramköröknél a terhelő tranzisztor vezéreletlen elemként funkcionált. Ha a két tranzisztor közül mindig csak egy lenne bekapcsolva, akkor statikus esetben nem folyik áram a tápfeszültség és a 0V között. Ez pl. úgy oldható meg, hogyha mindkét elemet vezéreltté tesszük. Így kapjuk a CMOS logikát, ahol a két tranzisztor ellentétes típusú: az alsó n-, a felső p típusú (11.14., ábrák). +u T D G S SiO 2 D G S p + n típusú Si-hordozó p + n + n + p típusú zseb p MOS ábra n MOS közösített vezérlőjel éppen megfelelően működteti a kapcsolást, hiszen amely logikai jelszint az alsó n-típusú tranzisztort éppen bekapcsolja (1 szint), az a felső,

15 11-15 p-típusút kikapcsolja és fordítva. Statikus esetben tehát nincs áramvezetés. (Átkapcsoláskor ugyanakkor egymásbavezetés van, vagyis a két tranzisztor átmenetileg egyszerre lesz nyitva, ami kvázi rövidzárat jelent a 0 V és a tápfeszültség között. CMOS áramkör disszipációja tehát attól fog függeni, hogy az elemeket milyen gyakran kapcsoljuk át, így a dinamikus disszipáció a meghatározó). p típusú Y = n típusú ábra működéshez ugyanakkor csak egy tápfeszültség szükséges. CMOS logika igen nagy előnye még a nagy zavarérzéketlenség. komparálási szint ugyanis kb. a tápfeszültség felénél van, a logikai 0 és 1 szintek pedig jó közelítéssel a 0 V ill. tápfeszültség. ( TTL és CMOS áramkörök transzfer karakterisztikáját a ábra hasonlítja össze). 5 u ki [V] ideális karakterisztika TTL valóságos CM OS (bufferelés nélkül) 1 u be [V] ábra z ideális karakterisztikát jól közelíti a bufferelt CMOS áramkör. Ez esetben a kimenettel kapcsolódó kapukat nagyobb meghajtóképességűre tervezik.

16 11-16 tápfeszültség emellett széles határok között választható: pl. 3V - 15V. Ráadásul az áramkör rendelkezik a MOS logikák általános kedvező tulajdonságával, hogy a bemeneti impedancia igen nagy (többszáz Mohm), így a fan-out-ot csak a kapacitív megfontolások korlátozzák (40-50-es érték még reális lehet). Megjegyzendő azonban, hogy a TTL áramkörökhöz képest a CMOS elemek is lassúbbak. CMOS logika két alapkapuja a ábrán látható. Érdekes megfigyelni azt az - egyébként logikus tulajdonságot, hogy a vezérlő tranzisztorok éppen ellentétes módon vannak bekötve, mint a terhelő tranzisztorok (soros - párhuzamos). B Y=*B Y=+B B NND kapu ábra NOR kapu MOS logikához képest a CMOS logikában ugyanaz a funkció több tranzisztorból (gyakorlatilag csaknem kétszer annyiból) valósítható meg, integrálhatósága kisebb. Ugyanakkor a felsorolt számos előny e hátrányt jelentősen háttérbe szorítja, így a nyolcvanas évek közepétől a CMOS technika került előtérbe. Ez különösen igaz a nagyintegráltságú LSI, VLSI, ULSI sűrűségű chipeknél. korábban ismertetett TTL áramkörcsaládhoz hasonlóan a CMOS elemekre is kialakult áramkörcsalád, ilyen pl. Philips gyártotta HEF40.., 45.. vagy RC vagy Motorola gyártotta CD4... ill. MC1..., 74C.., stb. sorozatok. Ezek a családok a TTL áramkörökhöz hasonlóan főként SSI és MSI funkciók megvalósítására törekedtek Katalógus és felhasználás-specifikus áramkörök z eddigiekben több áramköri logikával ismerkedhettünk meg. TTL integrált áramkörök ( alfejezet) egyértelműen a katalógus áramkörök közé sorolhatók. Ez azt jelenti, hogy az egyes áramkör-típusok megjelennek az adott katalógusban, választhatunk köztük és megrendelhetjük a készterméket a gyártó (vagy másodgyártó) cégtől, jelen esetben a TEXS INSTRUMENTS-től. Ezeket az áramköröket akkor érdemes gyártani, ha viszonylag olcsón sokat (ez százezres, de inkább milliós nagyságrendű küszöbszámot jelent) el lehet adni belőlük. Ehhez elsősorban univerzális és így többnyire viszonylag egyszerű funkciókat ellátó

17 11-17 áramkörök jöhetnek csak szóba. Így nem véletlen, hogy a katalógus-áramkörök csaknem kizárólag SSI és MSI elemekre korlátozódnak. Nem kizárt természetesen, hogy akadnak olyan funkciók, amelyek nagyobb integráltságot igényelnek, és elég sok helyen használhatók ( pl. bizonyos számlálók ), a jellemző azonban nem ez. technológiák azonban fejlődésük során elértek egy olyan szintre, ahol nagy és igen nagy bonyolultságú elemek is viszonylag könnyen és jó kihozatallal állíthatók elő (LSI, VLSI, ULSI). Ha katalógus-áramkört kívánunk ilyen bonyolultságú elemből készíteni, úgy az ezt kihasználó alkalmazást is meg kellett találni. Természetesen maguktól adódtak olyan fel használások, amelyek vártak már erre a technológiai lépcsőre: pl. számítógép hardver (mikroprocesszorok, perifériacsatoló, -illesztő intelligens integrált áramkörök). Ugyanakkor - mint említettük - az esetek nagy részében nem ez a jellemző. z igény arra, hogy a felhasználó (mármint általában elektronikus eszközöket tervező-gyártó cég) maga definiálja lehetőleg optimális felépítésű áramkörét már csaknem kezdettől fogva megvolt. Ugyanakkor a technikai problémák mellett gazdasági tényezők is hátráltatták azt, hogy a felhasználók konkrétabb beleszólást kapjanak adott áramköri tervük fizikai megvalósításába. z egyetlen lehetőség volt katalógus-áramkörökből felépíteni és megvalósítani az összetettebb funkciót. Természetesen az is közrejátszott, hogy a félvezetőgyártók sem rendelkeztek olyan kapacitásokkal, hogy a katalógus-áramkörök mellett egyedi igényeket is ki tudtak volna - gazdaságosan - elégíteni. nyolcvanas évek elejétől-közepétől jött el az az időszak, amikor minden tényező (műszaki és gazdasági) megérett arra, hogy a felhasználók közelebb kerülhessenek a gyártókhoz. Így esetenként LSI vagy VLSI kategóriájú terveiket (melyek funkcióinak megvalósítása addig általában egy egész nyomtatott áramköri kártyát vagy több ilyent töltöttek volna meg) egy vagy néhány áramkörrel (IC-vel) részben vagy teljesen optimalizálva vitelezhették ki késztermékeikbe (műszerek és egyéb elektronikai készülékek, berendezések). z ilyen áramköröket felhasználás specifikus áramkörnek (pplication Specific IC, SIC ill. custom design) nevezzük ( ábra). sorozatszám többnyire nem éri el az említett nagyságrendet.. Egyedi áramkörök Ha a sorozatszám mégis eléri a fenti küszöböt, úgy természetesen érdemes megrendelni a félvezetőgyártótól az adott integrált áramkör gyártását adott példányban. z elkészült példány egy darabra vetített költsége nem lesz magas, hiszen a darabszám a katalógus-áramkörök darabszámával vetekszik, utóbbi gyártása pedig gazdaságos. Ekkor az áramkört a definiálási fázistól kezdve ugyanúgy minden lépést a funkciókhoz optimálisan választva tudják legyártani az egyedi igények szerint. Ez a legköltségesebb és leghosszabb időt igénybevevő módszer, de a késztermék paramétereit tekintve a legjobb. Mivel teljesen a felhasználó definiálhatja az áramkör és a megvalósítás minden részletét, így ezt teljesen egyedi áramkörnek (full custom) nevezzük. B. Előgyártott áramkörök Ha azonban a darabszám egy vagy két nagyságrenddel a küszöb alatt van (ezres, tízezres darabszám), úgy szóba sem jöhet a full custom megoldás. E kategóriában - és igazából ez volt a nagy lépés, hiszen igen nagy darabszám esetén a gyártóknak addig is megérte full custom IC-t gyártani - a félvezetőgyártók részben egyedi termékkel (semi custom) siettek a felhasználók segítségükre. Ezek egyik csoportjánál (előgyártott) ez azt jelenti, hogy a chipen - valamilyen (pl. MOS) gyártástechnológiával és logikában (pl. CMOS) - előre elkészítenek elemeket (pl. tranzisztorokat). felhasználó áramköri tervének megfelelően ezután csak tranzisztorokat kell megfelelően összekötni. z összekötés a gyakorlatban az IC-gyártási technológiában a fémezési eljárást jelenti: meg kell tervezni majd ki is kell vitelezni az

18 11-18 összeköttetést és végül le kell zárni (be kell tokozni) az IC-t. z alapelemként tranzisztorokat tartalmazó előgyártott IC-t device array-nek nevezzük. Természetesen készítenek olyan félkész chipet is, ahol összetettebb elemek képezik az alapelemet (pl. kapuk az alapelemek - gate array, uncommitted logic array=ul -, vagy cellákat tartalmaz a chip, melyekben kapuk, flip-flopok, stb. is megtalálhatók - cell array).e megoldás - mint minden öszvérmegoldás (hiszen e megoldás kompromisszum eredménye) előnyökkel és hátrányokkal is rendelkezik a full custom megoldáshoz képest. Számos más előny (lásd később) mellett egy hallatlanul nagy előnye azonban a megoldásnak, hogy a felhasználói igényekhez jóval közelebbi kivitelt eredményez, mint a merev katalógus-áramkörökkel történő megoldás. Így alakult ki végül is a katalógus áramkörök mellett újfajta elemek kínálata, melyek vagy teljesen a felhasználó igényeire optimalizáltak, egyediek (full custom) vagy közelítik azokat, részben egyediek (semi custom). C. Előtervezett áramkörök teljesen egyedi és az előgyártott (custom produced) semi custom áramkörök között helyezkedik el az ún. előretervezett (előtervezett, cellakönyvtáras, standard cell, library custom) semi custom áramkör-típus. Ez azt jelenti, hogy a szokásos (standard) áramköri részfunkciók optimális előre megtervezésre kerültek és egy könyvtárban ezen elemek a tervezéshez rendelkezésre állnak. feladat ezután csak az, hogy ezen modulokból össze kell rakni a kívánt funkciót megvalósító áramkört (tervezés), majd le kell gyártani azt. Mivel itt nem áll rendelkezésre egy félkész termék, így a megoldás valamivel hosszabb időt vesz igénybe, mint az előgyártott megvalósítás. Ugyanakkor jobban közelíti az optimális megoldást. Természetesen a full customénál gyorsabban nyerhetünk kész áramkört, de csak közelíteni fogja a megoldás optimumát az ily módon kapott chip. digitális áramköri kategóriákat a ábra mutatja be, míg összehasonlításukat a táblázat végzi el. Egyedi (full custom) Előtervezett (library custom) Előgyártott (custom produced) PROM +kiegészítő elemek Katalógus ák. + NYÁK Ár kedvező felett felett felett mindig 10 3 alatt Átfutási idő 6 hónap 3 hónap 3 hónap azonnal 1 hét- 3 hónap Tervezés drága, lassú olcsó, gyors olcsó, gyors nincs olcsó, gyors Kivitel (1 db) drága drága közepes olcsó olcsó (?) Bonyolultság igen nagy nagy nagy kicsi? Másolhatóság nehéz nehéz könnyű könnyű könnyű táblázat

19 11-19 FŐ KTEGÓRIÁK KTEGÓRIÁK LKTEGÓRIÁK GYÁRTÁSI JELLEMZŐK KTEGÓRI ELEMEK Standard funkciójú IC-k (General Purpose Logic = GPL) Bipoláris MOS (nmos, CMOS) Vegyes (pl. BiCMOS) Katalógusáramkörök Szoftver-programozható eszközök (Sotfware Programmable Logic - SPL) (pl. mikroprocesszor) Digitális integrált áramkörök Hardverben programozható eszközök (Hardware Programmable Logic - HPL, PLD-k, stb.) PL-k FPL-k PL-k FPL-k, stb. Eszköz mátrix (Device-array) Felhasználásspecifikus integrált áramkörök Részben egyedi (Semi custom) Előgyártott (előregyártott) Egységes előgyártás (Custom preproduced) kapu-mátrix (Gate-array) Cella-mátrix (Cell-matrix) Teljesen egyedi (Full Custom) Előtervezett Custom Design Circuits Standard cell (Cellakönyvtáras, library custom) táblázat Egyedileg tervezett és gyártott Teljesen egyedi (Full Custom)

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

DIGITÁLIS TECHNIKA 11. Előadás

DIGITÁLIS TECHNIKA 11. Előadás DIGITÁLIS TECHNIKA 11. Előadás Előadó: Dr. Oniga István Egyetemi docens 2010/2011 II félév Digitális integrált áramkörök technológiája A logikai áramkörök megépítéséhez elıször is ki kell választanunk

Részletesebben

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék Integrált áramkörök/2 Rencz Márta Elektronikus Eszközök Tanszék Mai témák MOS áramkörök alkatrészkészlete Bipoláris áramkörök alkatrészkészlete 11/2/2007 2/27 MOS áramkörök alkatrészkészlete Tranzisztorok

Részletesebben

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák Az inverter, alapfogalmak Kiürítéses típusú MOS inverter Kapuáramkörök kialakítása

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 8. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Digitális kapcsolások megvalósítása Bináris állapotok megvalósítása

Digitális kapcsolások megvalósítása Bináris állapotok megvalósítása Bináris állapotok megvalósítása Bináris állapotok realizálásához két állapot megkülönböztetése, azaz egyszerű átkapcsolás-átváltás szükséges (pl. elektromos áram iránya, feszültség polaritása, feszültség

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TEHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 8. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET)

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) Hobbi Elektronika Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) 1 Felhasznált irodalom Sulinet Tudásbázis: Unipoláris tranzisztorok Electronics Tutorials: The MOSFET CONRAD Elektronik: Elektronikai

Részletesebben

Bevezetés az analóg és digitális elektronikába. V. Félvezető diódák

Bevezetés az analóg és digitális elektronikába. V. Félvezető diódák Bevezetés az analóg és digitális elektronikába V. Félvezető diódák Félvezető dióda Félvezetőknek nevezzük azokat az anyagokat, amelyek fajlagos ellenállása a vezetők és a szigetelők közé esik. (Si, Ge)

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

ELEKTRONIKA I. TRANZISZTOROK. BSc Mérnök Informatikus Szak Levelező tagozat

ELEKTRONIKA I. TRANZISZTOROK. BSc Mérnök Informatikus Szak Levelező tagozat ELEKTRONIKA I. TRANZISZTOROK BSc Mérnök Informatikus Szak Levelező tagozat Tranzisztorok Elemi félvezető eszközök Alkalmazásuk Analóg áramkörökben: erősítők Digitális áramkörökben: kapcsolók Típusai BJT

Részletesebben

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak?

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? Ellenörző kérdések: 1. előadás 1/5 1. előadás 1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? 2. Mit jelent a föld csomópont, egy áramkörben hány lehet belőle,

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 MOS áramkörök: CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu/~poppe/miel/hu/14-cmos.ppt http://www.eet.bme.hu Vizsgált

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Tantárgy: Szakmai gyakorlat Szakmai alapozó évfolyamok számára Összeállította: Farkas Viktor Bevezetés Az irányítástechnika felosztása Visszatekintés TTL CMOS integrált áramkörök

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor

Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor 4. félév Óraszám: 2+2 1 I. RÉSZ A DIGITÁLIS ÁRAMKÖRÖK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Általános témák, amelyek vonatkoznak az SSI, MSI, LSI és

Részletesebben

FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás

FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás A tranzisztor felfedezése A tranzisztor kifejlesztését a Lucent Technologies kutatóintézetében, a Bell Laboratóriumban végezték el. A laboratóriumban három

Részletesebben

Laptop: a fekete doboz

Laptop: a fekete doboz Laptop: a fekete doboz Dankházi Zoltán ELTE Anyagfizikai Tanszék Lássuk a fekete doboz -t NÉZZÜK MEG! És hány GB-os??? SZEDJÜK SZÉT!!!.2.2. AtomCsill 2 ... hát akkor... SZEDJÜK SZÉT!!!.2.2. AtomCsill 3

Részletesebben

Elektronika alapjai. Témakörök 11. évfolyam

Elektronika alapjai. Témakörök 11. évfolyam Elektronika alapjai Témakörök 11. évfolyam Négypólusok Aktív négypólusok. Passzív négypólusok. Lineáris négypólusok. Nemlineáris négypólusok. Négypólusok paraméterei. Impedancia paraméterek. Admittancia

Részletesebben

ELEKTRONIKA I. (KAUEL11OLK)

ELEKTRONIKA I. (KAUEL11OLK) Félévi követelmények és beadandó feladatok ELEKTRONIKA I. (KAUEL11OLK) tárgyból a Villamosmérnöki szak levelező tagozat hallgatói számára Óbuda Budapest, 2005/2006. Az ELEKTRONIKA I. tárgy témaköre: Az

Részletesebben

III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján?

III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján? III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján? 2.) Mi a tiltott sáv fogalma? 3.) Hogyan befolyásolja a tiltott sáv szélessége az anyagok

Részletesebben

Elektronika 1. 4. Előadás

Elektronika 1. 4. Előadás Elektronika 1 4. Előadás Bipoláris tranzisztorok felépítése és karakterisztikái, alapkapcsolások, munkapont-beállítás Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch.

Részletesebben

UNIPOLÁRIS TRANZISZTOR

UNIPOLÁRIS TRANZISZTOR UNIPOLÁRIS TRANZISZTOR Az unipoláris tranzisztorok térvezérléső tranzisztorok (Field Effect Transistor). Az ilyen tranzisztorok kimeneti áramának nagyságát a bemeneti feszültséggel létrehozott villamos

Részletesebben

29.B 29.B. Kombinációs logikai hálózatok

29.B 29.B. Kombinációs logikai hálózatok 29.B Digitális alapáramkörök Logikai alapáramkörök Ismertesse a kombinációs hálózatok jellemzıit! Ismertesse az alapfüggvényeket megvalósító TTL és CMOS kapuáramkörök jellemzıit és kimeneti megoldásait!

Részletesebben

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél A nagy áram meghajtó képességű IC-nél nagymértékben előjöhetnek a földvezetéken fellépő hirtelen áramváltozásból adódó problémák. Jelentőségükre

Részletesebben

MOS alapáramkörök. CMOS áramkörök, konstrukciós kérdések. Elektronikus Eszközök Tanszéke.

MOS alapáramkörök. CMOS áramkörök, konstrukciós kérdések. Elektronikus Eszközök Tanszéke. MOS alapáramkörök CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu A CMOS inverter V DD V DD V DD p BE KI BE=1 KI=0 BE=0 KI=1 n GND GND GND Állandósult állapotban a két tranzisztor közül mindig

Részletesebben

Érzékelők és beavatkozók

Érzékelők és beavatkozók Érzékelők és beavatkozók DC motorok 3. rész egyetemi docens - 1 - DC motorvezérlés H-híd: +V r Motor mozgatás előre Motor mozgatás hátra Fékezés Szabadonfutás a vezérlés függvényében UL LL + Ø - UR LR

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint IGIÁIS ENIK II r. ovassy Rita r. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és echnológia Intézet 0. EŐÁS OGIKI ÁRMKÖRÖK II MOS ÉS MOS Z EŐÁS ÉS NNG z előadások Rőmer Mária: igitális rendszerek áramkörei

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra).

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra). 3.10. Tápegységek Az elektronikus berendezések (így a rádiók) működtetéséhez egy vagy több stabil tápfeszültség szükséges. A stabil tápfeszültség időben nem változó egyenfeszültség, melynek értéke független

Részletesebben

Hármas tápegység Matrix MPS-3005L-3

Hármas tápegység Matrix MPS-3005L-3 Hármas tápegység Matrix MPS-3005L-3 Általános leírás Az MPS-3005L-3 tápegység egy fix 5V-os, 3A-rel terhelhető és két 0V-30V-között változtatható,legfeljebb 5A-rel terhelhető kimenettel rendelkezik. A

Részletesebben

A PC vagyis a személyi számítógép. VII. rész

A PC vagyis a személyi számítógép. VII. rész ismerd meg! A PC vagyis a személyi számítógép MOS logikai integrált áramkörök II. rész A MOS logikai áramkörök kapcsolástechnikai megvalósítását és mûködését egy egyszerû, diszkrét alkatrészekbõl felépített

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

2.Előadás ( ) Munkapont és kivezérelhetőség

2.Előadás ( ) Munkapont és kivezérelhetőség 2.lőadás (207.09.2.) Munkapont és kivezérelhetőség A tranzisztorokat (BJT) lineáris áramkörbe ágyazva "működtetjük" és a továbbiakban mindig követelmény, hogy a tranzisztor normál aktív tartományban működjön

Részletesebben

Koincidencia áramkörök

Koincidencia áramkörök Koincidencia áramkörök BEVEZETÉS Sokszor előfordul, hogy a számítástechnika, az automatika, a tudományos kutatás és a technika sok más területe olyan áramkört igényel, amelynek kimenetén csak akkor van

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: FET tranzisztoros kapcsolások

Hobbi Elektronika. Bevezetés az elektronikába: FET tranzisztoros kapcsolások Hobbi Elektronika Bevezetés az elektronikába: FET tranzisztoros kapcsolások 1 Felhasznált irodalom CONRAD Elektronik: Elektronikai kíséletező készlet útmutatója 2 FET tranzisztorok FET = Field Effect Transistor,

Részletesebben

Logaritmikus erősítő tanulmányozása

Logaritmikus erősítő tanulmányozása 13. fejezet A műveleti erősítők Logaritmikus erősítő tanulmányozása A műveleti erősítő olyan elektronikus áramkör, amely a két bemenete közötti potenciálkülönbséget igen nagy mértékben fölerősíti. A műveleti

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIK II Dr. Lovassy Rita Dr. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 9. ELŐDÁS Z ELŐDÁS ÉS TNNYG z előadások rató Péter: Logikai rendszerek tervezése (171-189

Részletesebben

ANALÓG ÉS DIGITÁLIS TECHNIKA I

ANALÓG ÉS DIGITÁLIS TECHNIKA I ANALÓG ÉS DIGITÁLIS TECHNIKA I Dr. Lovassy Rita lovassy.rita@kvk.uni-obuda.hu Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 4. ELŐADÁS LOGIKAI ÁRAMKÖRÖK I 2010/2011 tanév 2. félév 1 4. ELŐADÁS

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2014. május 20. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2014. május 20. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Teljesítményerősítők ELEKTRONIKA_2

Teljesítményerősítők ELEKTRONIKA_2 Teljesítményerősítők ELEKTRONIKA_2 TEMATIKA Az emitterkövető kapcsolás. Az A osztályú üzemmód. A komplementer emitterkövető. A B osztályú üzemmód. AB osztályú erősítő. D osztályú erősítő. 2012.04.18. Dr.

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

Teljesítmény-erősítők. Elektronika 2.

Teljesítmény-erősítők. Elektronika 2. Teljesítmény-erősítők Elektronika 2. Az erősítés elve Erősítés: vezérelt energia-átalakítás Vezérlő teljesítmény: Fogyasztó teljesítmény-igénye: Tápforrásból felvett teljesítmény: Disszipálódott teljesítmény:

Részletesebben

Összefüggő szakmai gyakorlat témakörei

Összefüggő szakmai gyakorlat témakörei Összefüggő szakmai gyakorlat témakörei Villamosipar és elektronika ágazat Elektrotechnika gyakorlat 10. évfolyam 10 óra Sorszám Tananyag Óraszám Forrasztási gyakorlat 1 1.. 3.. Forrasztott kötés típusai:

Részletesebben

Elektronika I. Gyakorló feladatok

Elektronika I. Gyakorló feladatok Elektronika I. Gyakorló feladatok U I Feszültséggenerátor jelképe: Áramgenerátor jelképe: 1. Vezesse le a terheletlen feszültségosztóra vonatkozó összefüggést: 2. Vezesse le a terheletlen áramosztóra vonatkozó

Részletesebben

Digitális rendszerek II. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu

Digitális rendszerek II. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Digitális rendszerek II. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Bevezető Bool algebra Egy állítás vagy IGAZ vagy HAMIS Egy esemény bekövetkezik vagy nem Logikai változóként kezelhetjük, amely

Részletesebben

Az N csatornás kiürítéses MOSFET jelleggörbéi.

Az N csatornás kiürítéses MOSFET jelleggörbéi. SZIGETELT VEZÉRLİELEKTRÓDÁS TÉRVEZÉRLÉSŐ TRANZISZTOR (MOSFET) A MOSFET-nek (Metal Oxide Semiconductor, fém-oxid-félvezetı) két alaptípusa a kiürítéses és a növekményes MOSFET. Mindkét típusból készítenek

Részletesebben

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató

Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató ÓBUDAI EGYETEM Kandó Kálmán Villamosmérnöki Kar Híradástechnika Intézet Négyszög - Háromszög Oszcillátor Mérése Mérési Útmutató A mérést végezte: Neptun kód: A mérés időpontja: A méréshez szükséges eszközök:

Részletesebben

Elektronika I. Dr. Istók Róbert. II. előadás

Elektronika I. Dr. Istók Róbert. II. előadás Elektronika I Dr. Istók Róbert II. előadás Tranzisztor működése n-p-n tranzisztor feszültségmentes állapotban p-n átmeneteknél kiürített réteg jön létre Az emitter-bázis réteg között kialakult diódát emitterdiódának,

Részletesebben

Attól függően, hogy a tranzisztor munkapontját melyik karakterisztika szakaszon helyezzük el, működése kétféle lehet: lineáris és nemlineáris.

Attól függően, hogy a tranzisztor munkapontját melyik karakterisztika szakaszon helyezzük el, működése kétféle lehet: lineáris és nemlineáris. Alapkapcsolások (Attól függően, hogy a tranzisztor három csatlakozási pontja közül melyiket csatlakoztatjuk állandó potenciálú pólusra, megkülönböztetünk): földelt emitteres földelt bázisú földelt kollektoros

Részletesebben

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! 1 Óbudai Egyetem 2 TARTALOMJEGYZÉK I. Bevezetés 3 I-A. Beüzemelés.................................. 4 I-B. Változtatható ellenállások...........................

Részletesebben

MIKROELEKTRONIKA 7. MOS struktúrák: -MOS dióda, Si MOS -CCD (+CMOS matrix) -MOS FET, SOI elemek -MOS memóriák

MIKROELEKTRONIKA 7. MOS struktúrák: -MOS dióda, Si MOS -CCD (+CMOS matrix) -MOS FET, SOI elemek -MOS memóriák MIKROELEKTRONIKA 7. MOS struktúrák: -MOS dióda, Si MOS -CCD (+CMOS matrix) -MOS FET, SOI elemek -MOS memóriák Fém-félvezetó p-n A B Heteroátmenet MOS Metal-oxide-semiconductor (MOS): a mikroelektronika

Részletesebben

Áramgenerátorok alapeseteinek valamint FET ekkel és FET bemenetű műveleti erősítőkkel felépített egyfokozatú erősítők vizsgálata.

Áramgenerátorok alapeseteinek valamint FET ekkel és FET bemenetű műveleti erősítőkkel felépített egyfokozatú erősítők vizsgálata. El. II. 4. mérés. 1. Áramgenerátorok bipoláris tranzisztorral A mérés célja: Áramgenerátorok alapeseteinek valamint FET ekkel és FET bemenetű műveleti erősítőkkel felépített egyfokozatú erősítők vizsgálata.

Részletesebben

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő Műveleti erősítők A műveleti erősítők egyenáramú erősítőfokozatokból felépített, sokoldalúan felhasználható áramkörök, amelyek jellemzőit A u ', R be ', stb. külső elemek csatlakoztatásával széles határok

Részletesebben

Diszkrét aktív alkatrészek

Diszkrét aktív alkatrészek Aktív alkatrészek Az aktív alkatrészek képesek kapcsolási és erősítési feladatokat ellátni. A digitális elektronika és a teljesítményelektronika gyors kapcsolókra épül, az analóg technikában elsősorban

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Műveleti erősítők - Bevezetés

Műveleti erősítők - Bevezetés Analóg és digitális rsz-ek megvalósítása prog. mikroák-kel BMEVIEEM371 Budapesti Műszaki és Gazdaságtudományi Egyetem Műveleti erősítők - Bevezetés Takács Gábor Elektronikus Eszközök Tanszéke (BME) 2014.

Részletesebben

Magyar nyelvű szakelőadások a 2000-2001-es tanévben

Magyar nyelvű szakelőadások a 2000-2001-es tanévben Erdélyi Magyar Műszaki Tudományos Társaság Magyar nyelvű szakelőadások a 2000-2001-es tanévben Kolozsvári Műszaki Egyetem Számítástechnika Kar Szerzők dr. Baruch Zoltán Bíró Botond dr. Buzás Gábor dr.

Részletesebben

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Analóg-digitális átalakítás Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Mai témák Mintavételezés A/D átalakítók típusok D/A átalakítás 12/10/2007 2/17 A/D ill. D/A átalakítók A világ analóg, a jelfeldolgozás

Részletesebben

Programozó- készülék Kezelőkozol RT óra (pl. PC) Digitális bemenetek ROM memória Digitális kimenetek RAM memória Analóg bemenet Analóg kimenet

Programozó- készülék Kezelőkozol RT óra (pl. PC) Digitális bemenetek ROM memória Digitális kimenetek RAM memória Analóg bemenet Analóg kimenet 2. ZH A csoport 1. Hogyan adható meg egy digitális műszer pontossága? (3p) Digitális műszereknél a pontosságot két adattal lehet megadni: Az osztályjel ±%-os értékével, és a ± digit értékkel (jellemző

Részletesebben

Gingl Zoltán, Szeged, dec. 1

Gingl Zoltán, Szeged, dec. 1 Gingl Zoltán, Szeged, 2017. 17 dec. 1 17 dec. 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó irányban tökéletes vezető (rövidzár) Záró irányban tökéletes szigetelő (szakadás) Valódi dióda:

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Elektronikai alapismeretek középszint 06 ÉRETTSÉGI VIZSG 007. május 5. ELEKTRONIKI LPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSG JVÍTÁSI-ÉRTÉKELÉSI ÚTMTTÓ OKTTÁSI ÉS KLTRÁLIS MINISZTÉRIM Teszt jellegű

Részletesebben

KÖZÖS EMITTERŰ FOKOZAT BÁZISOSZTÓS MUNKAPONTBEÁLLÍTÁSA

KÖZÖS EMITTERŰ FOKOZAT BÁZISOSZTÓS MUNKAPONTBEÁLLÍTÁSA KÖZÖS EMITTERŰ FOKOZT BÁZISOSZTÓS MUNKPONTBEÁLLÍTÁS Mint ismeretes, a tranzisztor bázis-emitter diódájának jelentős a hőfokfüggése. Ugyanis a hőmérséklet növekedése a félvezetőkben megnöveli a töltéshordozók

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: A tranzisztor, mint kapcsoló

Hobbi Elektronika. Bevezetés az elektronikába: A tranzisztor, mint kapcsoló Hobbi Elektronika Bevezetés az elektronikába: A tranzisztor, mint kapcsoló 1 Felhasznált irodalom Tudásbázis: Bipoláris tranzisztorok (Sulinet - szakképzés) Wikipedia: Tranzisztor Szabó Géza: Elektrotechnika-Elektronika

Részletesebben

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3 Előadó: Dr. Oniga István DIGITÁLIS TEHNIK 3 Logikai függvények logikai függvény olyan egyenlőség, amely változói kétértékűek, és ezek között csak logikai műveleteket végzünk függvények megadása történhet

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK Irányítástechnika Az irányítás olyan művelet, mely beavatkozik valamely műszaki folyamatba annak: létrehozása (elindítása)

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Mûveleti erõsítõk I.

Mûveleti erõsítõk I. Mûveleti erõsítõk I. 0. Bevezetés - a mûveleti erõsítõk mûködése A következõ mérésben az univerzális analóg erõsítõelem, az un. "mûveleti erõsítõ" mûködésének alapvetõ ismereteit sajátíthatjuk el. A nyílthurkú

Részletesebben

Gingl Zoltán, Szeged, :44 Elektronika - Diódák, tranzisztorok

Gingl Zoltán, Szeged, :44 Elektronika - Diódák, tranzisztorok Gingl Zoltán, Szeged, 2016. 2016. 12. 13. 7:44 Elektronika - Diódák, tranzisztorok 1 2016. 12. 13. 7:44 Elektronika - Diódák, tranzisztorok 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó

Részletesebben

Szinkronizmusból való kiesés elleni védelmi funkció

Szinkronizmusból való kiesés elleni védelmi funkció Budapest, 2011. december Szinkronizmusból való kiesés elleni védelmi funkció Szinkronizmusból való kiesés elleni védelmi funkciót főleg szinkron generátorokhoz alkalmaznak. Ha a generátor kiesik a szinkronizmusból,

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

Elektronika 2. TFBE1302

Elektronika 2. TFBE1302 Elektronika 2. TFBE1302 Mérőműszerek Analóg elektronika Feszültség és áram mérése Feszültségmérő: V U R 1 I 1 igen nagy belső ellenállású mérőműszer párhuzamosan kapcsolandó a mérendő alkatrésszel R 3

Részletesebben

I. A DIGITÁLIS ÁRAMKÖRÖK ELMÉLETI ALAPJAI

I. A DIGITÁLIS ÁRAMKÖRÖK ELMÉLETI ALAPJAI I. A DIGITÁLIS ÁRAMKÖRÖK ELMÉLETI ALAPJAI 1 A digitális áramkörökre is érvényesek a villamosságtanból ismert Ohm törvény és a Kirchhoff törvények, de az elemzés és a tervezés rendszerint nem ezekre épül.

Részletesebben

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Elektronikus Eszközök Tanszék Mai témák Transzfer kapu Kombinációs logikai elemek különböző CMOS megvalósításokkal Meghajtó áramkörök

Részletesebben

TB6600 V1 Léptetőmotor vezérlő

TB6600 V1 Léptetőmotor vezérlő TB6600 V1 Léptetőmotor vezérlő Mikrolépés lehetősége: 1, 1/2, 1/4, 1/8, 1/16. A vezérlő egy motor meghajtására képes 0,5-4,5A között állítható motoráram Tápellátás: 12-45V közötti feszültséget igényel

Részletesebben

Mikroelektronikai tervezés tantermi gyakorlat

Mikroelektronikai tervezés tantermi gyakorlat Mikroelektronikai tervezés tantermi gyakorlat Gärtner Péter, Ress Sándor 2010 április 1 Az átcsúszó selejt Előadáson levezetve az átcsúszó selejtre: Y = yield, kihozatal C = fault coverage, hibalefedés

Részletesebben

Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások

Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások Hálózati egyenirányítók, feszültségsokszorozók Egyenirányító kapcsolások Egyenirányítás: egyenáramú komponenst nem tartalmazó jelből egyenáramú összetevő előállítása. Nemlineáris áramköri elemet tartalmazó

Részletesebben

Elektronika 2. TFBE5302

Elektronika 2. TFBE5302 Elektronika 2. TFBE5302 Mérőműszerek Analóg elektronika Feszültség és áram mérése Feszültségmérő: V U R 1 I 1 igen nagy belső ellenállású mérőműszer párhuzamosan kapcsolandó a mérendő alkatrésszel R 3

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1.

Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1. Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI 8 1.1 AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1.2 AZ ELEKTROMOS TÉR 9 1.3 COULOMB TÖRVÉNYE 10 1.4 AZ ELEKTROMOS

Részletesebben

Kioldóköri ellenőrzés EuroProt+ készülékekben

Kioldóköri ellenőrzés EuroProt+ készülékekben ALKALMAZÁSI SEGÉDLET Kioldóköri ellenőrzés EuroProt készülékekben ANSI: 74 DOKUMENTUM AZONOSÍTÓ: PP-13-21925 LEGFISSEBB VEZIÓ: 1.0 2019-05-17, BUDAPEST DIGITÁLIS VÉDELMEK ÉS AUTOMATIKÁK A VILLAMOSENEGIA-IPANAK

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Logikai kapuáramkörök

Hobbi Elektronika. Bevezetés az elektronikába: Logikai kapuáramkörök Hobbi Elektronika Bevezetés az elektronikába: Logikai kapuáramkörök 1 Felhasznált irodalom Dr. Gárdus Zoltán: Digitális rendszerek szimulációja BME FKE: Logikai áramkörök Colin Mitchell: 200 Transistor

Részletesebben

ÁRAMKÖRÖK SZIMULÁCIÓJA

ÁRAMKÖRÖK SZIMULÁCIÓJA ÁRAMKÖRÖK SZIMULÁCIÓJA Az áramkörök szimulációja révén betekintést nyerünk azok működésébe. Meg tudjuk határozni az áramkörök válaszát különböző gerjesztésekre, különböző üzemmódokra. Végezhetők analóg

Részletesebben

28. EGYSZERŰ DIGITÁLIS ÁRAMKÖRÖK

28. EGYSZERŰ DIGITÁLIS ÁRAMKÖRÖK 28. EGYSZERŰ DIGITÁLIS ÁRMKÖRÖK Célkitűzés: z egyszerű kombinációs digitális áramkörök elvi alapjainak, valamint ezek néhány gyakorlati alkalmazásának megismerése. I. Elméleti áttekintés digitális eszközök

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2011. október 17. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2011. október 17. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

MUNKAANYAG. Mádai László. Logikai alapáramkörök. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása

MUNKAANYAG. Mádai László. Logikai alapáramkörök. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása Mádai László Logikai alapáramkörök A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja: SzT-017-50

Részletesebben

Jelgenerátorok ELEKTRONIKA_2

Jelgenerátorok ELEKTRONIKA_2 Jelgenerátorok ELEKTRONIKA_2 TEMATIKA Jelgenerátorok osztályozása. Túlvezérelt erősítők. Feszültségkomparátorok. Visszacsatolt komparátorok. Multivibrátor. Pozitív visszacsatolás. Oszcillátorok. RC oszcillátorok.

Részletesebben

Békéscsabai Kemény Gábor Logisztikai és Közlekedési Szakközépiskola "Az új szakképzés bevezetése a Keményben" TÁMOP-2.2.5.

Békéscsabai Kemény Gábor Logisztikai és Közlekedési Szakközépiskola Az új szakképzés bevezetése a Keményben TÁMOP-2.2.5. Szakképesítés: Log Autószerelő - 54 525 02 iszti Tantárgy: Elektrotechnikaelektronika Modul: 10416-12 Közlekedéstechnikai alapok Osztály: 12.a Évfolyam: 12. 32 hét, heti 2 óra, évi 64 óra Ok Dátum: 2013.09.21

Részletesebben

DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint 25.5.5. DIGITÁLIS TECHNIK I Dr. Lovassy Rita Dr. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 2. ELŐDÁS: LOGIKI (OOLE) LGER ÉS LKLMÁSI IRODLOM. ÉS 2. ELŐDÁSHO rató könyve2-8,

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 4. Logikai kapuáramkörök Felhasznált irodalom Dr. Gárdus Zoltán: Digitális rendszerek szimulációja Mádai László: Logikai alapáramkörök BME FKE: Logikai áramkörök Colin Mitchell:

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2009. 2006. május 22. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. május 22. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben