ANALÓG ÉS DIGITÁLIS TECHNIKA I

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "ANALÓG ÉS DIGITÁLIS TECHNIKA I"

Átírás

1 ANALÓG ÉS DIGITÁLIS TECHNIKA I Dr. Lovassy Rita lovassy.rita@kvk.uni-obuda.hu Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 4. ELŐADÁS LOGIKAI ÁRAMKÖRÖK I 2010/2011 tanév 2. félév 1

2 4. ELŐADÁS LOGIKAI ÁRAMKÖRÖK I 1. Digitális áramkörcsaládok 2. Inverter és tulajdonságai 3. Transistor-Transistor Logic (TTL) : bipoláris tranzisztoros integrált logikai áramkörök 2 2

3 DIGITÁLIS ALAPÁRAMKÖRÖK Logikai áramkörök - homogén, egységes tulajdonságú alapelemek Kapuk, tárolók - azonos tápfeszültség, azonos logikai szintek, hasonló terjedési idők Technológia - közös, egy chip-en integrálhatók Áramkörcsaládok 3 3

4 DIGITÁLIS ALAPÁRAMKÖRÖK: AZ INVERTER Konstrukciós szempontból egy áramkörcsalád leglényegesebb eleme az inverter Az inverter határozza meg az áramkörök alaptulajdonságait: jelszintek, zavarvédelem, terjedési, késleltetési idő, teljesítményfelvétel a bonyolultabb logikai elemek az inverterből származtathatók, pl. NOR, NAND kapuk: inverter kiegészítése SR flip-flop: két NOR kapu, stb. 4 4

5 LOGIKAI ÁLLAPOTOK, LOGIKAI SZINTEK Félvezetős logikai áramkörök feszültségvezéreltek. Logikai állapotok: feszültség (szint illetve impulzus). Pozitív és negatív szintű logikai rendszerek. Pozitív logika: 1-es szint pozitívabb mint a 0-ás szint. Negatív logika: 1-es szint negatívabb mint a 0-ás szint. Szabad szintű rendszer: Logikai szintek tűrése viszonylag nagy, a névleges értékek %-a is lehet. Kötött (megfogott) szintű rendszer: Logikai szintek tűrése viszonylag kicsi. 5 5

6 IDEÁLIS KAPCSOLÓ R UT I 1 U=0 0 U = UT Kapcsoló feladata: az áramkör zárásával az UT tápfeszültséget az R terhelő 1 0 ellenállásra kapcsolja, illetve kikapcsoláskor az áramkört U megszakítja. Ideális kapcsoló: érzéketlen a polaritásra kapcsolási idő végtelenül rövid nincs rajta teljesítményveszteség 6 6

7 VALÓSÁGOS KAPCSOLÓ R1 - nyitott állapotbeli (véges) ellenállás (pl. szigetelési ellenállás R2 - zárt állapotbeli (nem zérus) ellenállás (pl. átmeneti ellenállás) 7 7

8 VALÓSÁGOS KAPCSOLÓ JELLEMZŐI zárt állapotban maradékfeszültség nyitott állapotban maradékáram teljesítményveszteség mind nyitott, mind zárt állapotban átkapcsolás véges idő alatt megy végbe 8 8

9 FÉLVEZETŐ DIÓDA (PN-ÁTMENET) MINT KAPCSOLÓ I = Io(exp(U/Uth)-1) UT - termikus feszültség (kt/q), szobahőmérséklet környezetében kb. 26 mv A félvezető dióda nem ideális kapcsoló! Vezérlés - a rákapcsolt feszültség előjelével! 9 9

10 DIÓDA MINT KAPCSOLÓ I Záróirány: kikapcsolt Nyitóirány: bekapcsolt U Uny Kikapcsolt állapot: feszültségtől széles határok között független maradék-áram, mai diódáknál gyakorlatilag elhanyagolható Bekapcsolt állapot: Az átfolyó áramtól kevéssé függő, néhány tized volt ún. nyitófeszültség marad a diódán. Uny - Si diódán 0,6-0,7 V, GaAs diódán 1,2-1,4 V. Si Schottky diódán pedig kb. 0,4 V

11 DIÓDA: KAPCSOLÁSI IDŐ Átkapcsolási folyamat: töltésváltozások! Korlátozó tényező: tárolt töltés (kisebbségi töltéshordozók) illetve a diffúziós kapacitás. A nyitóirányból a záróirányba való átkapcsolás addig nem megy végbe míg a tárolt töltés el nem tűnik (tárolási időállandó, storage time)

12 DIÓDÁS LOGIKAI ÁRAMKÖRÖK ÉS illetve VAGY funkció valósítható meg megfelelően kötött diódákkal. Diódás ÉS kapu: pozitív logikában a kimenetén mindig a legnegatívabb feszültség jelenik meg. A kimenet csak akkor 1, ha az összes bemenet 1. Diódás VAGY kapu: pozitív szintű logikában a kimenetén mindig a legpozitívabb feszültség jelenik meg. A kimenet 1, ha legalább az egyik bemenet

13 Diódák kapcsolóüzemben Ha valamelyik bemeneten 0 V van, a hozzá tartozó dióda lezár, ez a bement leválasztódik, nem zavarja a kimeneti logikai 1 feszültség kialakulását. Ha VAGY az A, VAGY a B bemenetre pozitív feszültséget adunk, akkor az illető bementhez tartozó dióda kinyit, mivel az anódja pozitív feszültséget kap. A nyitott dióda katódja néhány tized V-tal, a nyitófeszültséggel negatívabb anódjánál, vagyis a kimenet feszültsége is pozitív, logikai 1 szintű. 13

14 Diódák kapcsolóüzemben Az R ellenállás a kimenet feszültségét pozitív feszültségre igyekszik felhúzni. Ha azonban akár egyetlen bementet is összekötünk a 0 V-os vezetékkel, akkor az ehhez a bementhez tartozó dióda kinyit és a kimenetet kis pozitív, gyakorlatilag zérus potenciál, vagyis logikai 0 jelenik meg. 14

15 A TRANZISZTOR MINT KAPCSOLÓ Mind a bipoláris mind a térvezérlésű (pl. MOS) tranzisztor működtethető kapcsolóüzemben, így kapcsolóáramkörök építhetők. Bipoláris tranzisztor: vezérelhető kapcsoló a bázis-emitter diódára adott vezérlő feszültség dönti el, hogy a kollektor-emitter között közel szakadás vagy közel rövidzár lép fel

16 BIPOLÁRIS TRANZISZTOR KAPCSOLÓÜZEME 16 16

17 A tranzisztor mint kapcsoló Lineáris üzemmódban a tranzisztorok munkaponti kollektorfeszültségét UC úgy állítottuk be, hogy Ut és UCEsat értékei között legyen, így az eszközt a MP körül vezérelhettük. A lineáris áramkörök vezérlése kicsi, hogy a kimeneti feszültség közelítőleg lineáris függvénye legyen a bemeneti feszültségnek. A kimeneti feszültség nem érheti el a pozitív, ill. a negatív kivezérlési határt, mert akkor vágás keletkezne. Digitális áramkörök csak kétféle üzemmód szerint működnek. Ha a feszültség egy megadott Umax értéknél nagyobb, akkor a feszültség H (high) állapotú Ha a feszültség egy megadott Umin értéknél kisebb, akkor a feszültség L (low) állapotú 17

18 A tranzisztor mint inverter Az áramkörrel szemben támasztott követelmények: ha U be U min akkor ha U be U max akkor U ki U max U ki U min A feszültségek közötti összefüggések még a legkedvezőtlenebb esetben is teljesülniük kell (az Umax, Umin, RC és RB értékek 18 megfelelő választása)

19 Átviteli karakterisztika Rt RC Ut U ki 2 Ut U max 2 Smin L szintű zavartávolság Smax H szintű zavartávolság 19

20 L szintű zavartávolság növelésének módszerei R2 a tranzisztor CB visszáram körét zárja a tranzisztoron kívül, biztosítja, hogy a TR biztosan lezárjon. S max U ki U be U min U max feszültségosztó S min U min U ki U be U max 20

21 Dinamikus jellemzők, az inverter kapcsolási jellemzői Négyszögjelvezérlés esetén megkülönböztetett időtartományok tk: késleltetési idő (delay time) t1: lefutási idő (fall ime) tt: tárolási idő (storage time) tf: felfutási idő (rise time) 21

22 A kapu működési idejének definíciója t i min t i max t ik 2 tik A kapuáramkör működési ideje (propagation delay) 22

23 FÁZISFORDÍTÓ ERŐSÍTŐ ÉS INVERTER Lineáris erősítő üzemmód ANALÓG Inverter üzemmód DIGITÁLIS 23

24 ÁRAMKÖRGENERÁCIÓK 1930-as évek, relés áramkörök, Bell Labs (korai hajtóerő: telefon kapcsolástechnika) 1940-évek, elektroncsövek, pl. ENIAC (electronic numerical integrator and calculator), 18 ezer cső, 140 kw (ma: négy alapműveletes kalkulátor kb. 9 ezer tranzisztor) (hajtóerő: katonai alkalmazások, tüzérségi röppálya számítások, stb.) 24 24

25 A TRANZISZTOR ÉS AZ IC A tranzisztor a 20. századot leginkább meghatározó találmány. Kétféle tranzisztor elképzelés: külső térrel vezérelni az elektronok áramát: térvezérlésű tranzisztor (FET, MOSFET, stb.) az anyag (félvezető) belsejében létrehozni a vezérlő elektródát : bipoláris tranzisztor (BJT) FET MOS BJT TRANSISTOR Field Effect Transistor Metal-Oxide-Semiconductor Bipolar Junction Trasistor TRANSfer resistor 25 25

26 ÁRAMKÖRGENERÁCIÓK (2) 1950/1960 félvezető diódás és tranzisztoros áramkörök - RTL resistor-transistor-logic - DTL diode-transistor-logic - ECL emitter-coupled logic (később) 1961-től SSI (előzőek egy chipen) 1960-as évek TTL (transistor-transistor logic), Sylvania, majd igazán sikeresen Texas Instruments 1980-as évek CMOS (complementary metal-oxidesemiconductor) 26 26

27 TTL BEVEZETŐ Legelterjedtebb IC technológia (bipoláris) Két alapváltozat 74 (ipari) és 54 (katonai) Több sorozat Bipoláris tranzisztorok, diódák és ellenállások Tokozás DIL, SMT DIL SMT Dual-In-Line Surface Mounting Technology 27 27

28 TTL SOROZATOK STANDARD ELAVULT! SCHOTTKY ELAVULT! S LOW-POWER SCHOTTKY LS ADVANCED SCHOTTKY AS FAST F ADVANCED LOW-POWER SCHOTTKY ALS 28 28

29 IC: Si BIPOLÁRIS TECHNOLÓGIA Technológia optimalizálása: Si npn tranzisztorhoz. Alkatrészválaszték: bipoláris tranzisztor, dióda, ellenállás, kondenzátor. Tranzisztor (és minden más alkatrész) síkba kiterítve - planáris technológia Tipikus méretek: emitter diffúzió (2-2,5) m bázis diffuzió 4 m n-epitaxiás réteg (kollektor) 10 m emitter ablak (kisáramú, 1-2 ma tranzisztor) (10-15) x (10-15) m Pl. a TTL áramkörben az emitter méret 16 x 16 m, egy bemenet árama max. 1,6 ma (az áramsűrűség 6,25 A/mm2)

30 Si NPN (PLANÁRIS) TRANZISZTOR Emitter Base Collector p+ n+ p Al Cu Si SiO2 n+ p+ n-epi Electron flow n+ buried layer P-substrate A Si npn tranzisztor a bipoláris IC-k igáslova. Síkba kiterített (planáris) elrendezés

31 Buried Layer Implantation SiO2 Betemetett réteg: ionimplantáció P-silicon n

32 Epitaxy Growth N-Si epitaxiás réteg növesztése n-epi n+ buried layer P-silicon 32 32

33 Isolation Implantation Elválasztó (p-típus) implantáció p+ n-epi p+ n+ buried layer P-silicon 33 33

34 Emitter/Collector and Base Implantation Emitter és bázistartomány, illetve kollektor kontaktus-tartomány kialakítása p+ n+ p n+ n-epi p+ n+ buried layer P-silicon 34 34

35 Metal Etch SiO2 p+ Emitter n+ Base Collector p Al Cu Si n+ n-epi p+ n+ buried layer P-silicon Kontaktusfémezés leválasztása, mintázat kialakítása 35 35

36 Passivation Oxide Deposition SiO2 p+ Emitter n+ Base Collector Al Cu Si CVD oxide p n+ n-epi p+ n+ buried layer P-silicon Passziváló oxidréteg leválasztása 36 36

37 IC: Si BIPOLÁRIS TRANZISZTOR Si npn tranzisztor tipikus paraméterei Region UBE (V) UCE (V) Current Relation Cutoff < 0.6 Open circuit IB=IC=0 Active > 0.8 IC =hfeib Saturation IB IC/hFE a bázis-emitter diódára adott vezérlő feszültség dönti el, hogy a kollektor-emitter között közel szakadás vagy közel 37rövidzár lép fel

38 INTEGRÁLT ÁRAMKÖR Kilby: Fizikai Nobel díj 2000 The Nobel Prize in Physics 2000: "for basic work on information and communication technology "for his part in the invention of the integrated circuit 38

39 Az első integrált áramkör Texas Instruments 39

40 A TTL ÁRAMKÖRCSALÁD Lényegében a dióda-tranzisztor logika (DTL) módosított változata Alacsony fokú integráció (SSI) és rövid késleltetési idők TTL áramkörcsalád Bemenet: multiemitteres-tranzisztor (ÉS funkció); Kimenet: háromféle: ellenütemű, nyitott kollektoros, három-állapotú Legegyszerűbb TTL-áramköri elem a kétbemenetes NAND-kapu Invertáló kimenetű (NAND, NOR, NOT) kapuáramkörök technikailag egyszerűbben valósíthatók meg mint a neminvertálók

41 (KLASSZIKUS) TTL ALAPKAPU (NAND) VCC (+5V ) 4k A 1,6 k 130 T1 A B T4 T2 B D1 D2 1k D3 & Q Q T3 GND (0V) bemeneti fokozat, ÉS kapu, T1, második fokozat, fázishasító, T2, ellenütemű kimenőfokozat, totem-pole, T3, T4, diódás szinteltolóval. A logikai funkciót diódák is ellátnák, a tranzisztorhatás felgyorsítja az41 átkapcsolást.41

42 TTL ALAPKAPU (NAND) A totem-pole kimenet felső tranzisztora mint aktív felhúzó terhelés kis dinamikus munkaellenállást képvisel, ami felgyorsítja a kimenetet terhelő kapacitások áttöltését, és így az átkapcsolást. A 130 ohmos ellenállás szerepe áramkorlátozás. A többemitteres tranzisztor a Texas Instruments szabadalma. A D1 és D2 diódák a bemenet védik az esetleges negatív túlfeszültség ellen, illetve a negatív 42 amplitúdójú tranziensek és zavarjelek ellen. 42

43 TTL FESZÜLTSÉGSZINTEK K imeneten: Bemeneten: Az elektronikus digitális áramkörök bemenetén 5V és kimenetén egy logikai változó 0 vagy 1 H 4V H 3V 2,4V 2V T 0,4V L T 2V 0,8V 1V értékét egy-egy feszültségszint, az ún. logikai szint reprezentálja. Ez nem egy pontos feszültségérték, hanem egy feszültségtartomány, mivel a digitális áramkörök paramétereinek szórásuk van. A feszültségtartományokat az eszközök kimenetére és bemenetére külön definiálják. Ahhoz, hogy az eszközök megfelelően tudjanak működni zavaró jelek esetén is, a bemeneti feszültségtartományok tágabbak. Adatlapokon általában csak az UHmin és ULmax értékeket adják meg, mivel a gyakorlatban ezek határozzák meg a worst case eseteket. L 0V 43 43

44 TTL ALAPKAPU FESZÜLTSÉGEI: BEMENET MAGAS (HIGH) +2 V ~+0,8 V +1,4 V +0,7 V Ube +2 V Uki 0 V ( 0,4 V) Ibe 40 μa, Uki 0,4 V. A T2 és T3 tranzisztorok telítésben vannak, ez jelentős sebességkorlátozó tényező. Kimenten 0 1 átmenet: nsec késleltetés. A T1 és T2 tranzisztor inverz üzemben van. Ha minden bement H állapotú, akkor az R1-en átfolyó áram a T1 kinyitott BC diódáján át folyik R2 bázisára és azt kinyitja.

45 TTL ALAPKAPU FESZÜLTSÉGEI: BEMENET ALACSONY (LOW) 0V +0,7 V 0 V 0 V +3,6 V (> +2,4 V) Ibe < UT/R1 = 5 V / 4 k 1,2 ma (specifikáció: max 1,6 ma) Uki (üresjárásban) = 5-2 x 0,7 = +3,6 V Ha akár csak egy bementre is alacsony feszültségszintet adunk, akkor a 45 hozzá tartozó BE dióda kinyit és a T2 bázisárama megszakad. T2 lezár45és a kimenet H szintre kerül.

46 TTL NAND LAYOUT Standard 2-bemenetű TTL NAND kapu áramköre Kettős 4-bementű TTL NAND kapu layout-ja Az ábrán látható elrendezés az integrált TTL kapcsolásokban ma már egyre kevésbé használják a tranzisztor telítéses működése miatt fellépő nagy kapukésleltetési idők miatt. Megoldás: Schottky-tranzisztorokból álló TTL kapu. (meggátolja, hogy a nyitott tranzisztor UCE< 0.3 V

47 TTL INVERTER TRANSZFER KARAKTERISZTIKA 5V UQ A Az átviteli karakterisztika alakját lényegében az aktív felhúzó üzem és a totem-pole kimenet határozzák meg. Q 1 4V Q =A 3V A kb. 0,7-1,4 V bemeneti feszültség tartományban a T2 meredekség: -1,6 tranzisztor aktív üzemmódban mint közös emitteres erősítő U A működik, 2V 1V 0V 1V 2V 3V 4V 5V Au = - 1,6 k / 1 k = -1,

48 TTL BEMENETI KARAKTERISZTIKA megengedett (logikai) tartomány 48 48

49 TTL KIMENETI KARAKTERISZTIKA A kimeneti karakterisztika függ a logikai állapottól! kimenet LOW kimenet HIGH 49 49

50 KIMENETI FOKOZAT: TOTEM-POLE Standard TTL-kapcsolásokban: ellenütemű kimeneti fokozat totem pole -kimenet. Ez a leggyakoribb TTL-kimenet. Hátrány: több kimenetet nem szabad párhuzamosan kapcsolni. Üzemmód: pull-down és pull-up. Több TTL-kimenet összekapcsolása (pl. buszrendszerek): nyitott kollektoros kimenet (open kollector) Tri-State-kimenet 50 50

51 NYITOTT KOLLEKTOROS KIMENET VCC (+5V ) U T A A T1 R Q T2 D T 1 Q Ki T3 GND (0V) Vezetési állapotában a kimenetet a testponttal összeköti, zárt állapotában pedig leválasztja (nagy ellenállás). Alkalmazás: nagyobb kimeneti áramok, nagyobb tápfeszültségek, stb., továbbá ún. huzalozott kapuknál

52 OPEN COLLECTOR KIMENETEK KAPCSOLÁSA 5V & RC OC kimenetek párhuzamos kapcsolása. & & & & 52 OC kimenetek kapcsolási rajzjele. 52

53 OPEN COLLECTOR KIMENETEK KAPCSOLÁSA 5V & RC & & & & A kimeneti feszültség csak akkor lesz H szintű, ha minden kimenet H állapotú. Ez ÉS függvény a pozitív logikában. Az L szint akkor áll elő a kimeneten, ha legalább egy vagy több kimenet L állapotú. Negatív logikában VAGY függvényt kapunk

54 OPEN-COLLECTOR KIMENETEK ÖSSZEKÖTÉSE Huzalozott VAGY, huzalozott ÉS funkció wired-or wired-and Mivel a függvény huzalozással valósul meg, ezért huzalozott logikájú kapcsolásnak nevezik

55 OC KIMENET: FELHÚZÓ ELLENÁLLÁS MÉRETEZÉSE Minimális értékét az L, maximális értékét a H kimeneti szint határozza meg: Open-Collector (m db kimenet) R pu min TTL bemenetek (n db bemenet) U B max U OL max I OL n I IL Open-Collector (m db kimenet) TTL bemenetek (n db bemenet) U B min U OH min Rpumax m 55 I OH n I IH 55

56 SCHMITT-TRIGGERES BEMENETŰ INVERTER 5V UQ A Lassan változó, vagy zajjal terhelt jelek is feldolgozhatók. Ha a zavar amplitúdója kisebb mint a hiszterézis, nem okoz hibás működést Q 4V Q =A 3V Hiszterézis 0,8 V 2V 1V U 0V 1V 2V 3V 4V A 5V 56 56

57 SCHMITT-TRIGGERES BEMENETŰ INVERTER A Schmitt-trigger bemenetű inverter funkciója nem logikai, hanem áramköri. A Schmitt-trigger áramkör megformálja a bementére érkező jelet, a jelváltozások átmeneteit meredekebbé teszi (felgyorsítás)

58 HÁROM ÁLLAPOTÚ (tristate) KIMENET A B V & Q Számos alkalmazási területen lényeges egyszerűsítés érhető el a kapuk kimeneteinek párhuzamosításával, akkor ha egy vezetékre fűzött több kapu közül mindig az egyik logikai állapota kell meghatározza a kimeneti állapotot Ilyenkor buszrendszerről beszélünk. A kapu működését egy V tiltja vagy engedélyezi. Ha V tilt (HIGH), a kiment egy ún. harmadik, nagyimpedanciás állapotba kerül, nem befolyásolja a következő kapu állapotát

59 HÁROMÁLLAPOTÚ (TRI-STATE) KIMENET Tri-State-kimenet: A totem-pole-kimenet módosított változata. Az engedélyező bemenetre adott 0 -szint mindkét kimeneti tranzisztort egyszerre lezárja. X1 X2 L L L H H L H H irreleváns EN H H H H L 59 Y H H H L leválasztva 59

60 HÁROM ÁLLAPOTÚ KIMENET Normál totem-pole kimenet: nem köthetők össze, tönkremegy! Több kimenet egy vezetékre kapcsolása: háromállapotú (tri-state) kimenetű kapuval. Felhasználás: busz vezeték meghajtása. A buszvezetékre csatlakoztatott tri-state kimenetű áramkörök közül mindig csak egyet szabad engedélyezni, a többi kimenete lebeg, így nem befolyásolják a buszvezeték állapotát, és nem is károsítják egymást

61 3-ÁLLAPOTU KIMENET: ALKALMAZÁS Tri-State kimenetek gyakorlati alkalmazása: Az Open-Collector-os megoldás-hoz képest előnye az, hogy nem kell a kapcsolás változtatásakor a felhúzóellenállást újraméretezni. Hátránya, hogy az összekötött kimenetek közül egyszerre csak az egyik lehet aktív, ez vezérlést igényel (az EN-bemenet). inaktív állapot = nagy-ohmos lezárás (high impedance)

62 TRI-STATE KIMENET Példa: 74LS245 Schmitt-triggerbemenet jele DIR= 0 adatút B A DIR= 1 adatút A B G = ENABLE (Tri-State-funkció) G= 1 nagyohmos leválasztás Schmitt-triggeres adatbe-menet: zavarjelelnyomás (Hiszterézis: TTL + 0,4 V) 62 62

63 TRI-STATE KIMENET μp-adatbusz (kétirányú) 74LS245 egyik jellemző alkalmazása: 8-bites mikroprocesszorbusz és két perifériaegység - A és B összekapcsolása 63 63

64 TTL GYAKORLAT Egy kapu nem használt bemenetei egy soros ellenálláson keresztül a tápfeszültségre kötendők. Egy tokban lévő nem használt kapuk bemeneteit 0 V-ra vagy a tápfeszültségre célszerű kötni oly módon, hogy a kimenet a magas szinten legyen. 64

65 TIPIKUS LÁBKIOSZTÁSOK 65 65

66 IC TOKOZÁS 66 66

67 ZAJTARTALÉK A egy fokozat legrosszabb esetbeli (worst case) kimenőfeszültsége és a következő fokozat legrosszabb esetbeli bemenő feszültsége közötti különbség (noise margin). Minél nagyobb a zajtartalék, annál nagyobb az a zavarófeszültség, melyet a kimenethez hozzáadva még nem okoz hibás működést

68 STATIKUS ZAJTARTALÉK Kimenet Bemenet 1 zajtartalék 0 zajtartalék 68 68

69 FAN-OUT Mérőszám, egy kapukimenet azon képességére vonatkozik, hogy milyen mértékben tudja a következő kapuk bemenetét meghajtani. Rendszerint egy áramkörcsaládon belül a megengedett (szabványos) egységterhelések számával mérik. Általában egy inverter-bemenet az egységterhelés

70 TELJESÍTMÉNYFELVÉTEL Statikus: ohmikus veszteségek (passzív komponensek) Dinamikus: a kondenzátoroknak az ellenállásokon keresztül való feltöltésekor illetve kisütésekor keletkező ohmikus veszteség 70 70

71 TELJESÍTMÉNY-KÉSLELTETÉS SZORZAT Áramkörtípus akkor jó ha kicsi a késleltetése és a teljesítményfelvétele. Jósági szám (figure-of-merit): a két paraméter szorzata (power-delay product). 54/74 típus: tpd = 10 nsec, egy kapura P = 10 mw P tpd = 100 pj Értelmezhető (kb.) mint 1 bit kapcsolásához szükséges energia

72 LOGIC FAMILY TRADEOFF 72 72

73 VÉGE 73 73

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 8. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIK II Dr. Lovassy Rita Dr. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 9. ELŐDÁS Z ELŐDÁS ÉS TNNYG z előadások rató Péter: Logikai rendszerek tervezése (171-189

Részletesebben

Digitális kapcsolások megvalósítása Bináris állapotok megvalósítása

Digitális kapcsolások megvalósítása Bináris állapotok megvalósítása Bináris állapotok megvalósítása Bináris állapotok realizálásához két állapot megkülönböztetése, azaz egyszerű átkapcsolás-átváltás szükséges (pl. elektromos áram iránya, feszültség polaritása, feszültség

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TEHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 8. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIK II Dr. Pıdör Bálint BMF KVK Mikroelektronikai és Technológia Intézet 6. ELİDÁS: LOGIKI ÁRMKÖRÖK I 6. ELİDÁS LOGIKI ÁRMKÖRÖK 1. Digitális áramkörcsaládok 2. Inverter és tulajdonságai 3.

Részletesebben

ANALÓG ÉS DIGITÁLIS TECHNIKA I

ANALÓG ÉS DIGITÁLIS TECHNIKA I ANALÓG ÉS DIGITÁLIS TECHNIKA I Dr. Lovassy Rita lovassy.rita@kvk.uni-obuda.hu Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS BILLENŐ ÁRAMKÖRÖK 2010/2011 tanév 2. félév 1 IRODALOM

Részletesebben

DIGITÁLIS TECHNIKA 11. Előadás

DIGITÁLIS TECHNIKA 11. Előadás DIGITÁLIS TECHNIKA 11. Előadás Előadó: Dr. Oniga István Egyetemi docens 2010/2011 II félév Digitális integrált áramkörök technológiája A logikai áramkörök megépítéséhez elıször is ki kell választanunk

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

Elektronika 1. 4. Előadás

Elektronika 1. 4. Előadás Elektronika 1 4. Előadás Bipoláris tranzisztorok felépítése és karakterisztikái, alapkapcsolások, munkapont-beállítás Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch.

Részletesebben

ELEKTRONIKA I. (KAUEL11OLK)

ELEKTRONIKA I. (KAUEL11OLK) Félévi követelmények és beadandó feladatok ELEKTRONIKA I. (KAUEL11OLK) tárgyból a Villamosmérnöki szak levelező tagozat hallgatói számára Óbuda Budapest, 2005/2006. Az ELEKTRONIKA I. tárgy témaköre: Az

Részletesebben

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak?

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? Ellenörző kérdések: 1. előadás 1/5 1. előadás 1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? 2. Mit jelent a föld csomópont, egy áramkörben hány lehet belőle,

Részletesebben

FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás

FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás FÉLVEZETŐ ESZKÖZÖK II. Elektrotechnika 5. előadás A tranzisztor felfedezése A tranzisztor kifejlesztését a Lucent Technologies kutatóintézetében, a Bell Laboratóriumban végezték el. A laboratóriumban három

Részletesebben

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák Az inverter, alapfogalmak Kiürítéses típusú MOS inverter Kapuáramkörök kialakítása

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

2.Előadás ( ) Munkapont és kivezérelhetőség

2.Előadás ( ) Munkapont és kivezérelhetőség 2.lőadás (207.09.2.) Munkapont és kivezérelhetőség A tranzisztorokat (BJT) lineáris áramkörbe ágyazva "működtetjük" és a továbbiakban mindig követelmény, hogy a tranzisztor normál aktív tartományban működjön

Részletesebben

ELEKTRONIKA I. TRANZISZTOROK. BSc Mérnök Informatikus Szak Levelező tagozat

ELEKTRONIKA I. TRANZISZTOROK. BSc Mérnök Informatikus Szak Levelező tagozat ELEKTRONIKA I. TRANZISZTOROK BSc Mérnök Informatikus Szak Levelező tagozat Tranzisztorok Elemi félvezető eszközök Alkalmazásuk Analóg áramkörökben: erősítők Digitális áramkörökben: kapcsolók Típusai BJT

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések Hobbi Elektronika Bevezetés az elektronikába: Boole algebra, logikai kifejezések 1 Felhasznált anyagok Mészáros Miklós: Logikai algebra alapjai, logikai függvények I. BME FKE: Logikai áramkörök Electronics-course.com:

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: A tranzisztor, mint kapcsoló

Hobbi Elektronika. Bevezetés az elektronikába: A tranzisztor, mint kapcsoló Hobbi Elektronika Bevezetés az elektronikába: A tranzisztor, mint kapcsoló 1 Felhasznált irodalom Tudásbázis: Bipoláris tranzisztorok (Sulinet - szakképzés) Wikipedia: Tranzisztor Szabó Géza: Elektrotechnika-Elektronika

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET)

Hobbi Elektronika. Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) Hobbi Elektronika Bevezetés az elektronikába: Térvezérlésű tranzisztorok (FET) 1 Felhasznált irodalom Sulinet Tudásbázis: Unipoláris tranzisztorok Electronics Tutorials: The MOSFET CONRAD Elektronik: Elektronikai

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Logikai kapuáramkörök

Hobbi Elektronika. Bevezetés az elektronikába: Logikai kapuáramkörök Hobbi Elektronika Bevezetés az elektronikába: Logikai kapuáramkörök 1 Felhasznált irodalom Dr. Gárdus Zoltán: Digitális rendszerek szimulációja BME FKE: Logikai áramkörök Colin Mitchell: 200 Transistor

Részletesebben

feszültség konstans áram konstans

feszültség konstans áram konstans Szélessávú Hírközlés és Villamosságtan Tanszék Űrtechnológia laboratórium Szabó József Egyszerű feszültség és áramszabályozó Űrtechnológia a gyakorlatban Budapest, 2014. április 10. Űrtetechnológia a gyakorlatban

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint IGIÁIS ENIK II r. ovassy Rita r. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és echnológia Intézet 0. EŐÁS OGIKI ÁRMKÖRÖK II MOS ÉS MOS Z EŐÁS ÉS NNG z előadások Rőmer Mária: igitális rendszerek áramkörei

Részletesebben

Műveleti erősítők - Bevezetés

Műveleti erősítők - Bevezetés Analóg és digitális rsz-ek megvalósítása prog. mikroák-kel BMEVIEEM371 Budapesti Műszaki és Gazdaságtudományi Egyetem Műveleti erősítők - Bevezetés Takács Gábor Elektronikus Eszközök Tanszéke (BME) 2014.

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 6. Feladatsor: Egyszerű tranzisztoros kapcsolások Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tranziens (átmeneti) jelenségek Az előzőekben csupán az

Részletesebben

Teljesítmény-erősítők. Elektronika 2.

Teljesítmény-erősítők. Elektronika 2. Teljesítmény-erősítők Elektronika 2. Az erősítés elve Erősítés: vezérelt energia-átalakítás Vezérlő teljesítmény: Fogyasztó teljesítmény-igénye: Tápforrásból felvett teljesítmény: Disszipálódott teljesítmény:

Részletesebben

Gingl Zoltán, Szeged, :44 Elektronika - Diódák, tranzisztorok

Gingl Zoltán, Szeged, :44 Elektronika - Diódák, tranzisztorok Gingl Zoltán, Szeged, 2016. 2016. 12. 13. 7:44 Elektronika - Diódák, tranzisztorok 1 2016. 12. 13. 7:44 Elektronika - Diódák, tranzisztorok 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó

Részletesebben

Elektronika alapjai. Témakörök 11. évfolyam

Elektronika alapjai. Témakörök 11. évfolyam Elektronika alapjai Témakörök 11. évfolyam Négypólusok Aktív négypólusok. Passzív négypólusok. Lineáris négypólusok. Nemlineáris négypólusok. Négypólusok paraméterei. Impedancia paraméterek. Admittancia

Részletesebben

Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor

Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor Tantárgy: DIGITÁLIS ELEKTRONIKA Tanár: Dr. Burány Nándor 4. félév Óraszám: 2+2 1 I. RÉSZ A DIGITÁLIS ÁRAMKÖRÖK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Általános témák, amelyek vonatkoznak az SSI, MSI, LSI és

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 4. Logikai kapuáramkörök Felhasznált irodalom Dr. Gárdus Zoltán: Digitális rendszerek szimulációja Mádai László: Logikai alapáramkörök BME FKE: Logikai áramkörök Colin Mitchell:

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

MIKRO- ÉS NANOTECHNIKA I

MIKRO- ÉS NANOTECHNIKA I MIKRO- ÉS NANOTECHNIKA I Dr. Pődör Bálint Óbudai Egyetem, KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 2009/2010 tanév 1. félév 1 ÁLTALÁNOS BEVEZETÉS A mikro- és nanotechnika c. tárgy megkísérli

Részletesebben

Gingl Zoltán, Szeged, dec. 1

Gingl Zoltán, Szeged, dec. 1 Gingl Zoltán, Szeged, 2017. 17 dec. 1 17 dec. 2 Egyenirányító (rectifier) Mint egy szelep deális dióda Nyitó irányban tökéletes vezető (rövidzár) Záró irányban tökéletes szigetelő (szakadás) Valódi dióda:

Részletesebben

Bipoláris tranzisztoros erősítő kapcsolások vizsgálata

Bipoláris tranzisztoros erősítő kapcsolások vizsgálata Mérési jegyzõkönyv A mérés megnevezése: Mérések Microcap Programmal Mérõcsoport: L4 Mérés helye: 14 Mérés dátuma: 2010.02.17 Mérést végezte: Varsányi Péter A Méréshez felhasznált eszközök és berendezések:

Részletesebben

Teljesítményerősítők ELEKTRONIKA_2

Teljesítményerősítők ELEKTRONIKA_2 Teljesítményerősítők ELEKTRONIKA_2 TEMATIKA Az emitterkövető kapcsolás. Az A osztályú üzemmód. A komplementer emitterkövető. A B osztályú üzemmód. AB osztályú erősítő. D osztályú erősítő. 2012.04.18. Dr.

Részletesebben

Elektronika Előadás. Műveleti erősítők felépítése, ideális és valós jellemzői

Elektronika Előadás. Műveleti erősítők felépítése, ideális és valós jellemzői Elektronika 2 1. Előadás Műveleti erősítők felépítése, ideális és valós jellemzői Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch. Schenk: Analóg és digitális áramkörök,

Részletesebben

Hobbi Elektronika. Bevezetés az elektronikába: Scmitt-trigger kapcsolások

Hobbi Elektronika. Bevezetés az elektronikába: Scmitt-trigger kapcsolások Hobbi Elektronika Bevezetés az elektronikába: Scmitt-trigger kapcsolások 1 Az NE555 mint Schmitt-trigger Ha az NE555 trigger és treshold bemeneteit közös jellel vezéreljük, hiszterézissel rendelkező billenő

Részletesebben

A valós digitális áramkörök legfontosabb tulajdonságai

A valós digitális áramkörök legfontosabb tulajdonságai A valós digitális áramkörök legfontosabb tulajdonságai Mivel a valóságos digitális áramköröket nem ideális kapcsoló elemek valósítják meg, ezért viselkedésük nem ideális. Ezeket figyelembe kell venni a

Részletesebben

A BIPOLÁRIS TRANZISZTOR.

A BIPOLÁRIS TRANZISZTOR. A BIPOLÁRIS TRANZISZTOR. A bipoláris tranzisztor kialakításához a félvezetı kristályt három rétegben n-p-n vagy p-n-p típusúra adalékolják. Az egyes rétegek elnevezése emitter (E), bázis (B), kollektor

Részletesebben

Attól függően, hogy a tranzisztor munkapontját melyik karakterisztika szakaszon helyezzük el, működése kétféle lehet: lineáris és nemlineáris.

Attól függően, hogy a tranzisztor munkapontját melyik karakterisztika szakaszon helyezzük el, működése kétféle lehet: lineáris és nemlineáris. Alapkapcsolások (Attól függően, hogy a tranzisztor három csatlakozási pontja közül melyiket csatlakoztatjuk állandó potenciálú pólusra, megkülönböztetünk): földelt emitteres földelt bázisú földelt kollektoros

Részletesebben

III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján?

III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján? III. félvezetők elméleti kérdések 1 1.) Milyen csoportokba sorolhatók az anyagok a fajlagos ellenállásuk alapján? 2.) Mi a tiltott sáv fogalma? 3.) Hogyan befolyásolja a tiltott sáv szélessége az anyagok

Részletesebben

Diszkrét aktív alkatrészek

Diszkrét aktív alkatrészek Aktív alkatrészek Az aktív alkatrészek képesek kapcsolási és erősítési feladatokat ellátni. A digitális elektronika és a teljesítményelektronika gyors kapcsolókra épül, az analóg technikában elsősorban

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Tantárgy: Szakmai gyakorlat Szakmai alapozó évfolyamok számára Összeállította: Farkas Viktor Bevezetés Az irányítástechnika felosztása Visszatekintés TTL CMOS integrált áramkörök

Részletesebben

Analóg áramkörök Műveleti erősítővel épített alapkapcsolások

Analóg áramkörök Műveleti erősítővel épített alapkapcsolások nalóg áramkörök Műveleti erősítővel épített alapkapcsolások Informatika/Elektronika előadás encz Márta/ess Sándor Elektronikus Eszközök Tanszék 07-nov.-22 Témák Műveleti erősítőkkel kapcsolatos alapfogalmak

Részletesebben

ANALÓG ÉS DIGITÁLIS TECHNIKA I

ANALÓG ÉS DIGITÁLIS TECHNIKA I ANALÓG ÉS DIGITÁLIS TECHNIKA I Dr. Lovassy Rita lovassy.rita@kvk.uni-obuda.hu Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 2. ELŐADÁS 2010/2011 tanév 2. félév 1 Aktív szűrőkapcsolások A

Részletesebben

Bevezetés a méréstechnikába és jelfeldolgozásba. Tihanyi Attila április 17.

Bevezetés a méréstechnikába és jelfeldolgozásba. Tihanyi Attila április 17. Bevezetés a méréstechnikába és jelfeldolgozásba Tihanyi Attila 2007. április 17. ALAPOK Töltés 1 elektron töltése 1,602 10-19 C 1 C (coulomb) = 6,24 10 18 elemi elektromos töltés. Áram Feszültség I=Q/t

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 3. Astabil multivibrátorok alkalmazása 1 Ismétlés: astabil multivibrátor Amikor T2 kinyit, Uc2 alacsony (néhány tized V) lesz, az eredetileg feltöltöt kondenzátor negatívbe viszi

Részletesebben

Koincidencia áramkörök

Koincidencia áramkörök Koincidencia áramkörök BEVEZETÉS Sokszor előfordul, hogy a számítástechnika, az automatika, a tudományos kutatás és a technika sok más területe olyan áramkört igényel, amelynek kimenetén csak akkor van

Részletesebben

Elektronika I. Dr. Istók Róbert. II. előadás

Elektronika I. Dr. Istók Róbert. II. előadás Elektronika I Dr. Istók Róbert II. előadás Tranzisztor működése n-p-n tranzisztor feszültségmentes állapotban p-n átmeneteknél kiürített réteg jön létre Az emitter-bázis réteg között kialakult diódát emitterdiódának,

Részletesebben

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő

Műveleti erősítők. 1. Felépítése. a. Rajzjele. b. Belső felépítés (tömbvázlat) c. Differenciálerősítő Műveleti erősítők A műveleti erősítők egyenáramú erősítőfokozatokból felépített, sokoldalúan felhasználható áramkörök, amelyek jellemzőit A u ', R be ', stb. külső elemek csatlakoztatásával széles határok

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA 1. Egyenáramú körök Követelmények, matematikai alapok, prefixumok Töltés, áramerősség Feszültség Ellenállás és vezetés. Vezetők, szigetelők Áramkör fogalma Áramköri

Részletesebben

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék Integrált áramkörök/2 Rencz Márta Elektronikus Eszközök Tanszék Mai témák MOS áramkörök alkatrészkészlete Bipoláris áramkörök alkatrészkészlete 11/2/2007 2/27 MOS áramkörök alkatrészkészlete Tranzisztorok

Részletesebben

Lineáris és kapcsoló üzemű feszültség növelő és csökkentő áramkörök

Lineáris és kapcsoló üzemű feszültség növelő és csökkentő áramkörök Lineáris és kapcsoló üzemű feszültség növelő és csökkentő áramkörök Buck, boost konverter Készítette: Támcsu Péter, 2016.10.09, Debrecen Felhasznált dokumentum : Losonczi Lajos - Analog Áramkörök 7 Feszültség

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Diszkrét aktív alkatrészek és egyszerû alkalmazásaik. Elmélet A diszkrét aktív elektronikai alkatrészek (dióda, különbözõ tranzisztorok, tirisztor) elméleti

Részletesebben

29.B 29.B. Kombinációs logikai hálózatok

29.B 29.B. Kombinációs logikai hálózatok 29.B Digitális alapáramkörök Logikai alapáramkörök Ismertesse a kombinációs hálózatok jellemzıit! Ismertesse az alapfüggvényeket megvalósító TTL és CMOS kapuáramkörök jellemzıit és kimeneti megoldásait!

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

Elektronika Előadás. Műveleti erősítők táplálása, alkalmazása, alapkapcsolások

Elektronika Előadás. Műveleti erősítők táplálása, alkalmazása, alapkapcsolások Elektronika 2 2. Előadás Műveleti erősítők táplálása, alkalmazása, alapkapcsolások Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - U. Tiecze, Ch. Schenk: Analóg és digitális áramkörök,

Részletesebben

Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1.

Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1. Tételek Elektrotechnika és elektronika I tantárgy szóbeli részéhez 1 1. AZ ELEKTROSZTATIKA ALAPJAI 8 1.1 AZ ELEKTROMOS TÖLTÉS FOGALMA 8 1.2 AZ ELEKTROMOS TÉR 9 1.3 COULOMB TÖRVÉNYE 10 1.4 AZ ELEKTROMOS

Részletesebben

Áramkörök számítása, szimulációja és mérése próbapaneleken

Áramkörök számítása, szimulációja és mérése próbapaneleken Áramkörök számítása, szimulációja és mérése próbapaneleken. Munkapontbeállítás Elektronika Tehetséggondozás Laboratóriumi program 207 ősz Dr. Koller István.. NPN rétegtranzisztor munkapontjának kiszámítása

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2011. október 17. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2011. október 17. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

Elektronika Előadás. Analóg és kapcsoló-üzemű tápegységek

Elektronika Előadás. Analóg és kapcsoló-üzemű tápegységek Elektronika 2 7. Előadás Analóg és kapcsoló-üzemű tápegységek Irodalom - Megyeri János: Analóg elektronika, Tankönyvkiadó, 1990 - B. Carter, T.R. Brown: Handbook of Operational Amplifier Applications,

Részletesebben

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra).

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra). 3.10. Tápegységek Az elektronikus berendezések (így a rádiók) működtetéséhez egy vagy több stabil tápfeszültség szükséges. A stabil tápfeszültség időben nem változó egyenfeszültség, melynek értéke független

Részletesebben

KÖZÖS EMITTERŰ FOKOZAT BÁZISOSZTÓS MUNKAPONTBEÁLLÍTÁSA

KÖZÖS EMITTERŰ FOKOZAT BÁZISOSZTÓS MUNKAPONTBEÁLLÍTÁSA KÖZÖS EMITTERŰ FOKOZT BÁZISOSZTÓS MUNKPONTBEÁLLÍTÁS Mint ismeretes, a tranzisztor bázis-emitter diódájának jelentős a hőfokfüggése. Ugyanis a hőmérséklet növekedése a félvezetőkben megnöveli a töltéshordozók

Részletesebben

MÉRÉSI JEGYZŐKÖNYV. Felhasznált eszközök. Mérési feladatok

MÉRÉSI JEGYZŐKÖNYV. Felhasznált eszközök. Mérési feladatok MÉRÉSI JEGYZŐKÖNYV A mérés tárgya: Tranzisztoros erősítő alapkapcsolások vizsgálata (5. mérés) A mérés időpontja: 2004. 03. 08 de A mérés helyszíne: BME, labor: I.B. 413 A mérést végzik: Belso Zoltan KARL48

Részletesebben

Digitális rendszerek II. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu

Digitális rendszerek II. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Digitális rendszerek II. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Bevezető Bool algebra Egy állítás vagy IGAZ vagy HAMIS Egy esemény bekövetkezik vagy nem Logikai változóként kezelhetjük, amely

Részletesebben

PN átmenet kivitele. (B, Al, Ga, In) (P, As, Sb) A=anód, K=katód

PN átmenet kivitele. (B, Al, Ga, In) (P, As, Sb) A=anód, K=katód PN átmenet kivitele A pn átmenet: Olyan egykristályos félvezető tartomány, amelyben egymással érintkezik egy p és egy n típusú övezet. Egy pn átmenetből álló eszköz a dióda. (B, Al, Ga, n) (P, As, Sb)

Részletesebben

Bevezetés az analóg és digitális elektronikába. V. Félvezető diódák

Bevezetés az analóg és digitális elektronikába. V. Félvezető diódák Bevezetés az analóg és digitális elektronikába V. Félvezető diódák Félvezető dióda Félvezetőknek nevezzük azokat az anyagokat, amelyek fajlagos ellenállása a vezetők és a szigetelők közé esik. (Si, Ge)

Részletesebben

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél A nagy áram meghajtó képességű IC-nél nagymértékben előjöhetnek a földvezetéken fellépő hirtelen áramváltozásból adódó problémák. Jelentőségükre

Részletesebben

Logaritmikus erősítő tanulmányozása

Logaritmikus erősítő tanulmányozása 13. fejezet A műveleti erősítők Logaritmikus erősítő tanulmányozása A műveleti erősítő olyan elektronikus áramkör, amely a két bemenete közötti potenciálkülönbséget igen nagy mértékben fölerősíti. A műveleti

Részletesebben

Mûveleti erõsítõk I.

Mûveleti erõsítõk I. Mûveleti erõsítõk I. 0. Bevezetés - a mûveleti erõsítõk mûködése A következõ mérésben az univerzális analóg erõsítõelem, az un. "mûveleti erõsítõ" mûködésének alapvetõ ismereteit sajátíthatjuk el. A nyílthurkú

Részletesebben

Mérés és adatgyűjtés

Mérés és adatgyűjtés Mérés és adatgyűjtés 4. óra - levelező Mingesz Róbert Szegedi Tudományegyetem 2011. március 18. MA lev - 4. óra Verzió: 1.3 Utolsó frissítés: 2011. május 15. 1/51 Tartalom I 1 A/D konverterek alkalmazása

Részletesebben

Foglalkozási napló a 20 /20. tanévre

Foglalkozási napló a 20 /20. tanévre Foglalkozási napló a 20 /20. tanévre Elektronikai műszerész szakma gyakorlati oktatásához OKJ száma: 34 522 03 A napló vezetéséért felelős: A napló megnyitásának dátuma: A napló lezárásának dátuma: Tanulók

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Azonosító jel NSZI 0 6 0 6 OKTATÁSI MINISZTÉRIUM Szakmai előkészítő érettségi tantárgyi verseny 2006. április 19. ELEKTRONIKAI ALAPISMERETEK DÖNTŐ ÍRÁSBELI FELADATOK Az írásbeli időtartama: 240 perc 2006

Részletesebben

Hármas tápegység Matrix MPS-3005L-3

Hármas tápegység Matrix MPS-3005L-3 Hármas tápegység Matrix MPS-3005L-3 Általános leírás Az MPS-3005L-3 tápegység egy fix 5V-os, 3A-rel terhelhető és két 0V-30V-között változtatható,legfeljebb 5A-rel terhelhető kimenettel rendelkezik. A

Részletesebben

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem

Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! Óbudai Egyetem Elektronika laboratóriumi mérőpanel elab panel NEM VÉGLEGES VÁLTOZAT! 1 Óbudai Egyetem 2 TARTALOMJEGYZÉK I. Bevezetés 3 I-A. Beüzemelés.................................. 4 I-B. Változtatható ellenállások...........................

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MKROELEKTRONKA, VEEA306 A bipoláris tranzisztor. http://www.eet.bme.hu/~poppe/miel/hu/08-bipol3.ppt http://www.eet.bme.hu Az ideális tranzisztor karakterisztikái

Részletesebben

ÉRZÉKELŐK ÉS BEAVATKOZÓK II. 4. DC MOTOROK VEZÉRLÉS

ÉRZÉKELŐK ÉS BEAVATKOZÓK II. 4. DC MOTOROK VEZÉRLÉS ÉRZÉKELŐK ÉS BEAVATKOZÓK II. 4. DC MOTOROK VEZÉRLÉS Dr. Soumelidis Alexandros 2019.03.06. BME KÖZLEKEDÉSMÉRNÖKI ÉS JÁRMŰMÉRNÖKI KAR 32708-2/2017/INTFIN SZÁMÚ EMMI ÁLTAL TÁMOGATOTT TANANYAG DC motorvezérlés

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2016. május 18. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2016. május 18. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

Szimmetrikus bemenetű erősítők működésének tanulmányozása, áramköri paramétereinek vizsgálata.

Szimmetrikus bemenetű erősítők működésének tanulmányozása, áramköri paramétereinek vizsgálata. El. II. 5. mérés. SZIMMETRIKUS ERŐSÍTŐK MÉRÉSE. A mérés célja : Szimmetrikus bemenetű erősítők működésének tanulmányozása, áramköri paramétereinek vizsgálata. A mérésre való felkészülés során tanulmányozza

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2006. október 2006. 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2006. október 24. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2014. május 20. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2014. május 20. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

TB6600 V1 Léptetőmotor vezérlő

TB6600 V1 Léptetőmotor vezérlő TB6600 V1 Léptetőmotor vezérlő Mikrolépés lehetősége: 1, 1/2, 1/4, 1/8, 1/16. A vezérlő egy motor meghajtására képes 0,5-4,5A között állítható motoráram Tápellátás: 12-45V közötti feszültséget igényel

Részletesebben

Bevezetés a méréstechnikába és jelfeldolgozásba. Tihanyi Attila 2007 március 27

Bevezetés a méréstechnikába és jelfeldolgozásba. Tihanyi Attila 2007 március 27 Bevezetés a méréstechnikába és jelfeldolgozásba Tihanyi Attila 2007 március 27 Ellenállások R = U I Fajlagos ellenállás alapján hosszú vezeték Nagy az induktivitása Bifiláris Trükkös tekercselés Nagy mechanikai

Részletesebben

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Elektronikus Eszközök Tanszék Mai témák Transzfer kapu Kombinációs logikai elemek különböző CMOS megvalósításokkal Meghajtó áramkörök

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

i1. Az elektronikában alkalmazott mennyiségek SI mértékegységei és prefixei.

i1. Az elektronikában alkalmazott mennyiségek SI mértékegységei és prefixei. i1. Az elektronikában alkalmazott mennyiségek SI mértékegységei és prefixei. M, mega 10 6 k, kilo 10 3 m,milli 10-3 µ, mikro 10-6 n, nano 10-9 p, piko 10-12 f, femto 10-15 Volt, Amper, Ohm, Farad, Henry,

Részletesebben

Digitális technika kidolgozott tételek

Digitális technika kidolgozott tételek Digitális technika kidolgozott tételek 1. digit jel, kódok Analóg jel: általában lineáris egységek dolgozzák fel, időben folyamatos, valamilyen függvénnyel leírhatóak. Jellemzői: egyenszint átvitel, jel-zaj

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 MOS áramkörök: CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu/~poppe/miel/hu/14-cmos.ppt http://www.eet.bme.hu Vizsgált

Részletesebben

D I G I T Á L I S Á R A M K Ö R Ö K

D I G I T Á L I S Á R A M K Ö R Ö K ELEKTRONIKAI TECHNIKUS KÉPZÉS 2 0 1 3 D I G I T Á L I S Á R A M K Ö R Ö K ÖSSZEÁLLÍTOTTA NAGY LÁSZLÓ MÉRNÖKTANÁR - 2 - Tartalomjegyzék Logikai rendszerek...3 RTL rendszer...5 DTL rendszer...5 TTL rendszer...6

Részletesebben

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel és módszerekkel történik. A feldolgozás előtt az analóg jeleket digitalizálni kell.

Részletesebben

DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint 25.5.5. DIGITÁLIS TECHNIK I Dr. Lovassy Rita Dr. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 2. ELŐDÁS: LOGIKI (OOLE) LGER ÉS LKLMÁSI IRODLOM. ÉS 2. ELŐDÁSHO rató könyve2-8,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TECHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 4. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató P.: Logikai rendszerek tervezése (171-189

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben