A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA a LOGIKAI SZINTÉZISBEN

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA a LOGIKAI SZINTÉZISBEN"

Átírás

1 A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA a LOGIKAI SZINTÉZISBEN M.D. CILETTI Deparmen of Elecrical and Compuer Engineering Universiy of Colorado Colorado Springs, Colorado Copyrigh 1997 No par of hese noes may be copied or reproduced in any form wihou he wrien permission of he auhor. A szerző írásbeli engedélye és jóváhagyása alapján ádolgoza és magyarra fordíoa FEHÉR BÉLA Budapesi Műszaki Egyeem Mérésechnika és Információs Rendszerek Tanszék 1

2 TARTALOM 1. rész: Bevezeés, modellek, hierarchikus ervezés, szimuláció 2. rész: Modulok felépíése, adaípusok, logikai operáorok 3. rész: Felhasználói primiívek, késleleési modellek 4. rész: Működési leírás modellezése Veriloggal 5. rész: A Verilog szinézis módszereinek ismereése 2

3 LOGIKAI MODELLEZÉS TÁMOGATÁSA A VERILOG NYELVBEN COMBINATIONAL SEQUENTIAL STRUCTURAL (Explici) PRE-DEFINED PRIMITIVES USER-DEFINED PRIMITIVES INSTANTIATED MODULES STRUCTURAL (Implici, Daa Flow) CONTINUOUS ASSIGNMENT (assign) BEHAVIORAL / ALGORITHMIC PROCEDURAL ASSIGNMENT (=) NON-BLOCKING ASSIGNMENT (<=) PROCEDURAL CONTINUOUS ASSIGNMENT (assign) 3

4 PÉLDÁK A VERILOG STRUKTURÁLIS LEÍRÁSRA module mux_4_sr(a, b, c, d, selec, y_ou); inpu a, b, c, d; inpu [1:0] selec; oupu y_ou; selec[1:0] nor (y_ou, w1, w2, w3, w4); nand (w1, sel_1_bar, sel_0_bar, a); nand (w2, sel_1_bar, selec[0], b); nand (w3, selec[1], sel_0_bar, c); nand (w4, selec[1], selec[0], d); no (sel_1_bar, selec[1]); no (sel_0_bar, selec0]); a b c d mux_4_sr y_ou endmodule 4

5 FELHASZNÁLÓ ÁLTAL DEFINIÁLT PRIMITIVEK (UDP) CÉL: TÁMOGATÁS A FELHASZNÁLÓI KOMBINÁCIÓS ÉS SZEKVENCIÁLIS PRIMITÍV FÜGGÉNYEK MEGVALÓSÍTÁSÁRA. FLEXIBILITÁS HATÉKONY SZIMULÁCIÓ HATÉKONY MEMÓRIA KIHASZNÁLÁS PÉLDÁK MUX MEGVALÓSÍTÁSA LATCH (SZINTÉRZÉKENY) FLIP FLOP (ÉLVEZÉRELT) 5

6 UDP - MULTIPLEXER primiive mux_prim (mux_ou, selec, a, b); oupu mux_ou; inpu selec, a, b; able //selec a b : mux_ou Jellemzők a b selec mux_ou : 0 ; // Tábláza oszlopai a porok sorrendjében : 0 ; // Egy kimene, öbb bemene, nincs inou 0 0 x : 0 ; // Csak 0,1,x a be- és kimeneeken : 1 ; // z nagyimpedanciás érék x-kén kezelve : 1 ; // Az uolsó oszlop a kimene 0 1 x : 1 ; 6

7 // Bemeneek Kimeneek // selec a b : mux_ou : 0 ; : 0 ; 1 x 0 : 0 ; a b selec mux_ou : 1 ; : 1 ; 1 x 1 : 1 ; x 0 0 : 0 ; x 1 1 : 1 ; endable endprimiive 7

8 // Megjegyzés: A nem egyérelműen specifikál kombinációk x kimenee adnak. // Tömöríe jelölés: //? jelöli a 0,1,x érékeken való eljes ieráció, vagyis a don' care bemenei érékeke a b selec mux_ou // selec a b : mux_ou // 0 0? : 0 ; // 0 1? : 1 ; // 1? 0 : 0 ; // 1? 1 : 1 ; //? 0 0 : 0 ; //? 1 1 : 1 ; 8

9 UDP SZINTÉRZÉKENY LATCH daa enable enable daa TRANSPARENT LATCH q_ou q_ou 9

10 UDP SZINTÉRZÉKENY LATCH primiive _lach1 (q_ou, enable, daa); oupu q_ou; inpu enable, daa; reg q_ou; able // en daa sae q_ou/nex_sae 1 1 :? : 1 ; // Transzparens magas 1 0 :? : 0 ; // Rögzíe alacsony 0? :? : - ; // Megjegyzés: '-' eseén //nincs válozás a kimeneen, // az állapo az előző éréke arja endable endprimiive 10

11 UDP POZITÍV ÉLVEZÉRELT FLIP-FLOP primiive d_flop (q_ou, clock, daa); oupu q_ou inpu clock, daa; reg q_ou; able // clk daa sae q_ou/nex_sae (01) 0 :? : 0 ; // Órajel felfuó éle (01) 1 :? : 1 ; (0?) 1 : 1 : 1 ; (0?) 0 : 0 : 0 ; // lehene - is (?0)? :? : - ; // Órajel lefuó éle? (??) :? : - ; // Sabil órajel szin // nincs válozás endable endprimiive // A (0x) ípusú válozás az x reprezenálja 11

12 UDP LATCH, KEVESEBB PESSZIMIZMUSSAL primiive _lach2 (q_ou, enable, daa); oupu q_ou; inpu enable, daa; reg q_ou; able // en daa sae q_ou/nex_sae 1 1 :? : 1 ; 1 0 :? : 0 ; 0? :? : - ; // Nincs válozás // Nem kezelük az engedélyezés = x esee. // Ha daa = sae, legyen az en engedélyezés közömbös: x 0 : 0 : - ; x 1 : 1 : - ; endable endprimiive 12

13 SZINT ÉS ÉLVEZÉRELT UDP primiive jk_flop (q_ou, clock, j, k, prese, clear); oupu q_ou; inpu clock, j, k, prese, clear; reg q_ou; // j k q(+1) j k q(+1) // JK Flip-Flop // 0 0 q() // ~q() able // Prese logika (Akív alacsony) // clk j k pre clr sae q_ou/nex_sae??? 0 1 :? : 1 ;??? * 1 : 1 : 1 ; 13

14 // Clear logika (Akív alacsony) // clk j k pre clr sae q_ou/nex_sae??? 1 0 :? : 0 ;??? 1 * : 0 : 0 ; // Normal órajeles működés // clk j k pre clr sae q_ou/nex_sae r : 0 : 1 ; r :? : - ; r :? : 0 ; r :? : 1 ; r : 0 : 1 ; r : 1 : 0 ; f???? :? : - ; 14

15 // j és k vezérlése // clk j k pre clr sae q_ou/nex_sae b *??? :? : - ; // sabil óra b? *?? :? : - ; // Kisebb pesszimizmussal. p :? : - ; p 0? 1? : 0 : - ; p? 0? 1 : 1 : - ; (?0)???? :? : - ; (1x) :? : - ; (1x) 0? 1? : 0 : - ; (1x)? 0? 1 : 1 : - ; x * 0? 1 : 1 : - ; x 0 * 1? : 0 : - ; endable endprimiive 15

16 // JELÖLÉSEK: // * jelöli az összes válozás a bemeneen (??). // r jelöli a (01) ámenee // f jelöli a (10) ámenee // b jelöli a 0 or 1 (pl. sabil óra) // p jelöli a (01), (0x), (x1), (0z), (z1) ámeneeke // n jelöli az (10), (1x), (x0), (1z), (z0) ámeneeke 16

17 UDP KIÉRTÉKELÉSE EGYIDEJŰ VÁLTOZÁSOKRA Az egyidejű öbbszörös eseményekkel meghajo UDP kiérékelése függ az események feldolgozásának sorrendjéől. PÉLDA: d_flip_flop ESEMÉNY IDŐ STATE = 0 AKTUÁLIS ÉRTÉK CLOCK: 0 --> 1 0 DATA: 0 --> 1 HA AZ ÓRA ESEMÉNNYEL INDUL ==> KÖV. ÁLL. = JELENLEGI ÁLL.= 0 HA AZ ADAT ESEMÉNNYEL INDUL ==> KÖV. ÁLL. = 1 MEGJEGYZÉS: AZ IGAZSÁGTÁBLÁZAT NEM TUDJA FELOLDANI EZT A VERSENYT. IDŐZÍTÉSFIGYELÉSSEL A BEÁLLÁSI IDŐ FELTÉTEL ELLENŐRIZHETŐ. 17

18 UDP - ÁLTALÁNOS SZABÁLYOK AZ UDP-K A MODULOKKAL AZONOS SZINTAKTIKAI SZÍNTŰ EGYSÉGEK. AZ UDP-KET AZ ELŐRE DEFINIÁLT (BELSŐ) PRIMITÍVEKHEZ HASONLÓAN HASZNÁLHATJUK. AZ INTERFÉSZ LISTÁBAN SORRENDBEN A KIMENET AZ ELSŐ. AZ UDP KIMENETE CSAK SKALÁR LEHET. AZ UDP BEMENETEI IS CSAK SKALÁROK LEHETNEK. A KOMBINÁCIÓS TÍPUSÚ UDP-K KIMENETI PORTJA HUZAL. A SZEKVENCIÁLIS TÍPUSÚ UDP-K KIMENETI PORTJA REGISZTER. 18

19 UDP - ÁLTALÁNOS SZABÁLYOK AZ UDP-K AUTOMATIKUSAN BIZTOSÍTJÁK AZ ÉLÉRZÉKENY VISELKEDÉST. EGY-EGY SORBAN CSAK EGYETLEN BEMENET VÁLTOZHAT. A NEM TELJESEN SPECIFIKÁLT VÁLTOZÁSOK EREDMÉNYE A KIMENETEN X. AZOKAT A BEMENETI VÁLTOZÁSOKAT IS FELL KELL SOROLNI, AMELYEK NEM VÁLTOZTATJÁK MEG A KIMENETET (EGYÉBKÉNT A KIMENET EZEK HATÁSÁRA X ÉRTÉKET VESZ FEL). HA A KIMENET BÁRMEYIK BEMENETI ÉLRE ÉRZÉKENY, AZ ÖSSZES BEMENET ÖSSZES ÉLÉRE DEFINIÁLNI KELL A VISELKEDÉST. AZ UDP-K HASZNÁLATAKOR MEGADHATÓK ÉLVÁLTOZÁSI IDŐK (felfuó, lefuó). 19

20 UDP - ÁLTALÁNOS SZABÁLYOK AZ 'inou' TÍPUS NEM MEGENGEDETTAZ UDP TÁBLÁZATBAN. CSAK 0, 1, X ÉRTÉKEK SZEREPELHETNEK A TÁBLÁZATOK KIMENETI ÉS BEMENETI OSZLOPAIBAN. A Z ÉRTÉK (A SZIMULÁCIÓ SORÁN) X -KÉNT SZEREPEL A TÁBLÁZAT SORRENDJE MEGFELEL A PORTOK SORRENDJÉNEK A TÁBLÁZAT NEM TARTALMAZHAT EGYIDEJŰ VÁLTOZÁSOKAT LEGFELJEBB KÉT KÉSLELTETÉSI IDŐ (FELFUTÓ ÉS LEFUTÓ) DEFINIÁLHATÓ EGY UDP-HEZ. 20

21 A VERILOG NYELV IDŐZÍTÉS MODELLEZÉSE: PRIMITÍVEK, HUZALOK, FOLYTONOS KIJELÖLÉS. A VERILOG NYELV ELŐRE DEFINIÁLT TÁMOGATÁST AD AZ IDŐZÍTÉSEK MODELLEZÉSÉRE: KAPU TERJEDÉSI IDŐ (PROPAGATION DELAY) HUZALTERJEDÉSI IDŐ (TRANSPORT DELAY) KIFEJEZÉSEKKEL DEFINIÁLT KOMBINÁCIÓS LOGIKA, FOLYTONOS KIJELÖLÉS (CONTINUOUS ASSIGNMENT) BEMENET/KIMENET TÍPUSÚ KOMBINÁCIÓS KÉSLELTETÉS (ALGORITMIKUS VISELKEDÉSI LEÍRÁSOKBAN) MODULOK INTERFÉSZEIN LÁB-LÁB KÉSLELTETÉS 21

22 A VERILOG LOGIKAI PRIMITÍVEK KÉSLELTETÉSEI CÉL: A FIZIKAI TERJEDÉSI KÉSLELTETÉS MODELLEZÉSE KAPU / PRIMITÍV KÉSLELTETÉS (INERCIÁLIS) X_in Y_ou X_in Y_ou pd EGYETLEN ÉRTÉKKEL (késleleés nélküli, egységnyi, egyéb) TÖBB ÉRTÉKŰ (min : yp : max) JELVÁLTÁS SZERINT ASZIMMETRIKUS (lefuás, felfuás, kikapcsolás) ALAPÉRTELMEZÉSBEN NINCS KÉSLELTETÉS RÖVID IMPULZUSOKAT ELNYELI (INERCIÁLIS MODELL) 22

23 PÉLDA KAPUKÉSLELTETÉSEK MEGADÁSÁRA nand (y_ou, x_in1, x_in2); nand #5 (y, a, b, c); nand #1 (y_ou, x_in1, x_in2); nand #(2,3) (y_ou, x_in1, x_in2); nand #(3:4:5) (c, a, b); a_prim_buffer #(3,5) (y_ou, x_in1, x_in2); // UDP buf_if1 #(3:4:5, 6:7:8, 5:8:9) (y_ou, x_in, enable); MEGJEGYZÉS: A TERJEDÉSI IDŐ HATÁROZZA MEG, HOGY A KIMENET VÁLTOZÁSA MENNYI IDŐ MÚLVA KÖVESSE A BEMENET VÁLTOZÁSÁT. MINDEN BEMENETRE AZONOSAK A KÉSLELTETÉSEK. 23

24 A KÉSLELTETÉSEK JELENTÉSE ÉS SZINTAKTIKÁJA FELFUTÁS: 0 -> 1, x LEFUTÁS: 1 -> 0, x KIKAPCSOLÁS: 0 -> z x -> 1 x -> 0 1 -> z z -> 1 z -> 0 x -> z A PRIMITÍV KAPUK KÉSLELTETÉSE A KIMENET VÁTOZÁSÁHOZ KAPCSOLÓDIK. ÁLTALÁNOS SZINTAKTIKA gae_prim_name #(d1, d2, d3) insance_name_1 (pors),... insance_name_n(pors); AHOL: d1 d2 d3 Felfuási idő késleleései (egy, keő, vagy három érék) Lefuási késleleés Kikapcsolási késleleés (ahol szükséges) 24

25 TÖBBSZÖRÖS KÉSLELTETÉSI IDŐK: min, yp, max SZINTAKTIKA #(d1_min:d1_yp:d1_max, d2_min: d2_yp: d2_max, d3_min: d3_yp: d3_max) MEGJEGYZÉSEK A SZIMULÁTOROK ÁLTALÁBAN EGY ÉRTÉKET HASZNÁLNAK. A MEGFELELŐ PARAMÉTER INDÍTÁSI OPCIÓKÉNT VÁLASZTHATÓ. MINDHÁROM IDŐADATOT HASZNÁLJÁK AZ IDŐZÍTÉS ANALIZÁTOROK (PL. CADENCE VERITIME) 25

26 PÉLDA IDŐZÍTÉS ADATOK ALKALMAZÁSÁRA TRI-STATE LATCH module ri_lach(q_ou, nq_ou, clock, daa, enable); oupu q_ou, nq_ou; inpu clock, daa, enable; ri q_ou, nq_ou; // nagyimpedanciás kimene no #5 (ndaa,daa); // egyszerű késleleés nand #(3,5) (wa, daa, clock), // azonos felfuó/lefuó késleleések (wb, ndaa, clock); // ké kapura nand #(12,15) (q, nq, wa), (nq, q, wb); bufif1 #(3,7,13) q_drive (q_ou, q, enable), // felfuó és lefuó // kikapcsolási késleleések nq_drive (nq_ou, nq, enable); endmodule 26

27 A TRI-STATE LATCH KAPCSOLÁSI RAJZA daa clock (3,5) wa (12,15) q enable (3,7,17) q_ou (5) (3,7,17) (3,5) wb (12,15) nq enable nq_ou 27

28 AZ INTERCIÁLIS KÉSLELTETÉSEK MODELLJEI AZ INERCIÁLIS (TEHETETLENSÉGI) KÉSLELTETÉSI MODELL A HATÁRÉRTÉKNÉL KISEBB IDŐTARTAMÚ IMPULZUSOKAT ELNYOMJA. X_in = 1 Y_ou X_in Y_ou X_in = 3 pd =

29 HUZALOZÁSOK KÉSLELTETÉSI MODELLJE: A TRANSZPORT KÉSLELTETÉS CÉL: A JELEK ÁTFUTÁSI IDEJÉNEK MODELLEZÉSE A HUZALOZÁSI KAPCSOLATOKON. X_in X_ou X_in ne_ab X_ou ran EGY KÉSLELTETÉSI IDŐT KAPCSOL KÉT ESEMÉNY, A KIMENETI FORRÁS OLDALI MEGHAJTÓ JELVÁLTÁSA ÉS A HUZAL VÉGPONTJÁN MEGJELENŐ JELVÁLTÁS KÖZÉ. KÉSLELTETÉSI MODELL TRANSZPORT KÉSLELTETÉS (HUZALOZÁSOKON) ALAPÉRTÉK: NINCS KÉSLELTETÉS 29

30 PÉLDA HUZALOZÁSI KÉSLELTETÉSEKRE nand #3 (y_ou_bar, x_in1, x_in2); // meghajó késleleése 3 ns // a bemenei jelválások uán. wire #2 y_ou1; // huzal fuási ideje 2 ns // a meghajó jelválása uán. wire #4 y_ou2; no #1 (y_ou1, y_ou2, y_ou_bar); // y_ou1 (y_ou2) válozása // 2 ns (4 ns) múlva x_in1 x_in2 3 7 y_ou_bar 3 1 #2 #4 y_ou1 y_ou ALKALMAZÁS: HUZALKÉSLELTETÉS FPGA ÁRAMKÖRÖKBEN, ÖSSZEKÖTTETÉSEK MULTI-CHIP MODULOKBAN 30

31 KÉSLELTETÉS MODELLEZÉSE AZ IMPLICIT KOMBINÁCIÓS LOGIKAI FÜGGVÉNYEKNÉL (CONTINUOUS ASSIGNMENTS) CÉL: KÉSLELTETÉS HOZZÁRENDELÉSE A KÖZVETLEN FÜGGVÉNY FORMÁJÁBAN DEFINIÁLT KOMBINÁCIÓS LOGIKÁHOZ (INERCIÁLIS KÉSLELTETÉSŰ ADATFOLYAM MODELL). X_in Y_ou X_in IMPLICIT LOGIC (Coninuous Assignmen) Y_ou pd A FOLYTONOS KIJELÖLÉS (CONTINUOUS ASSIGNMENT) KÉSLELTETÉSE MODELLEZI AZT AZ IDŐT, AMI A KIFEJEZÉS JOBB OLDALI VÁLTOZÓINAK MEGVÁLTOZÁSÁTÓL A BALOLDALI VÁLTOZÓ VÁLTOZÁSÁIG ELTELIK. 31

32 PÉLDA IDŐZÍTÉS KÖZVETLEN MEGADÁSÁRA module my_xor (y_ou, a, b); inpu [15:0] a, b; oupu [15:0] y_ou; assign #delay y_ou = a ^ b; endmodule // explici assign MEGJEGYZÉS: EZ A MODELL INERCIÁLIS KÉSLELTETÉST VALÓSÍT MEG. 32

33 PÉLDA EXPLICIT assign ÉS HUZALOZÁSI KÉSLETETÉSRE a y_ou b a 5 10 a a ^ b y_ou b module my_xor (y_ou,a,b); inpu [15:0] a,b; oupu [15:0] y_ou; wire [15:0] #5 y_ou; // ranszpor assign y_ou = a^b; // explici endmodule b 5 y_ou a a ^ b y_ou b 10 5ns TRANSZPORT KÉSLELTETÉS NINCS INERCIÁLIS HATÁS 6 33

34 PÉLDA EXPLICIT assign ÉS HUZALOZÁSI KÉSLETETÉSRE a b 5 y_ou a a ^ b y_ou b a b 5 10 a b a ^ b y_ou y_ou module my_xor (y_ou,a,b); inpu [15:0] a,b; oupu [15:0] y_ou; wire [15:0] #5 y_ou; // 5ns huzalozás assign #3 y_ou = a^b; // 3ns inerciális endmodule 5ns TRANSZPORT KÉSLELTETÉS 3ns INERCIÁLIS HATÁS 6 34

35 KÉSLELTETÉS MEGADÁSI FORMÁI FOLYTONOS KIJELÖLÉS ESETÉN IMPLICIT assign module my_xor (y_ou, a, b); inpu [15:0] a, b; oupu [15:0] y_ou; wire #some_delay y_ou = a^b; // implici assign, a XOR // inerciális késleleése endmodule MEGJEGYZÉS: A MEGHAJTÓNAK INERCIÁLIS KÉSLELTETÉSE, A HUZALNAK 0 ÉRTÉKŰ TRANSZPORT KÉSLELTETÉSE VAN. 35

36 KÉSLELTETÉSI MODELLEK PÉLDA module wand_of_assigns (y_ou, x1, x2); inpu [31:0] x1, x2; oupu [31:0] y_ou; wand # 10 y; // wired-and huzal deklaració // ranszpor késleleés assign #5 y = ~x1; // meghajó inerciális késleleése assign #3 y = ~x2; // meghajó inerciális késleleése buf (y_ou, y); // modul áfuási idő endmodule MEGJEGYZÉS: A FOLYTONOS KIJELÖLÉS MEGHAJTÓJA MINDIG AKTÍV. A VISELKEDÉSI LEÍRÁSOK KÉSLELTETÉSI MODELJEINEK LEÍRÁSA (A BEMENETI/KIMENETI ALGORITMUS) KÉSŐBB FOG SZEREPELNI. 36

37 ÖSSZEFOGLALÓ A KAPUK, HUZALOK ÉS A FOLYTONOS KIJELÖLÉS KÉSLELTETÉSI MODELLJEIRŐL KAPUK: gae_ype #delay ( ); A BEMENETI ÉS KIMENETI ESEMÉNYEK KÖZÖTTI KÉSLELTETÉST DEFINIÁLJA A JELTERJEDÉS AZ INERCIÁLIS MODELL SZERINTI FOLYTONOS KIJELÖLÉS: wire #delay ne_name = expression; // Inerciális késleleés a jobboldali kifejezésre. wire ne_name; assign #delay ne_name = expression // Inerciális késleleés a jobboldali kifejezésre. 37

38 ÖSSZEFOGLALÁS wire #wire_delay ne_name; // huzal ranszpor késleleés assign ne_name = expression; // inerciális késleleés nélkül KÉSLELTETÉS A HUZALT MEGHAJTÓ KIMENET VÁLTOZÁSA ÉS ENNEK AZ ESEMÉNYNEK A MEGJELENÉSE A TERHELÉST JELENTŐ KAPU, PRIMITÍV VAGY FOLYTONOS KIJELÖLÉS KIFEJEZÉS VÁLTOZÓJA KÖZÖTT TRANSZPORT KÉSLELTETÉST VALÓSÍT MEG wire #wire_delay ne_name; // hual ranszpor késleleés assign #delay ne_name = expression; // inerciális késleleés 38

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN M.D. CILETTI Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado Copyright 1997 No part

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Szekvenciális hálózatok Állapotdiagram

Szekvenciális hálózatok Állapotdiagram Szekvenciális hálózatok Állapotdiagram A kombinatorikus hálózatokra jellemző: A kimeneti paramétereket kizárólag a mindenkori bemeneti paraméterek határozzák meg, a hálózat jellegének, felépítésének megfelelően

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Verilog HDL ismertető 2. hét : 1. hét dia

Verilog HDL ismertető 2. hét : 1. hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 2. hét : 1. hét + 15 25 dia Fehér Béla, Raikovich

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 3. Verilog blokkok és struktúrák Végh János: Bevezetés a Verilog hardverleíró nyelvbe c. jegyzete nyomán Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TECHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 4. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató P.: Logikai rendszerek tervezése (171-189

Részletesebben

Szinkron sorrendi hálózatok tervezése

Szinkron sorrendi hálózatok tervezése Szinkron sorrendi hálózaok ervezése Benesóczky Zolán 24 A jegyzee a szerzői jog védi. Az a BME hallgaói használhaják, nyomahaják anulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN M.D. CILETTI Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado Copyright 1997 No part

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész Hobbi Elektronika A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Digitális Rendszerek (BSc)

Digitális Rendszerek (BSc) Pannon Egyetem Képfeldolgozás és Neuroszámítógépek Tanszék Digitális Rendszerek (BSc) 5. előadás: Szekvenciális hálózatok I. Szinkron és aszinkron tárolók, regiszterek Előadó: Vörösházi Zsolt voroshazi@vision.vein.hu

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN Az OPEN VERILOG INTERNATIONAL (OVI) szervezet felkérésére készítette MICHAEL D. CILETTI Department of Electrical and Computer Engineering

Részletesebben

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Előadó: Dr. Bede Zsuzsanna, adjunktus Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St.

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek Hobbi Elektronika A digitális elektronika alapjai: További logikai műveletek 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL, 5th.

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104.

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104. Logikai hálózatok Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St. I. em. 04. Tanszéki honlap: www.kjit.bme.hu/hallgatoknak/bsc-targyak-3/logikai-halozatok Gyakorlatok: hétfő + 08:5-0:00 J 208 HF: 4.

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN M.D. CILETTI Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado Copyright 1997 No part

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

A Verilog HDL áttekintése

A Verilog HDL áttekintése Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A Verilog HDL áttekintése

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal

Részletesebben

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Elektronikus Eszközök Tanszék Mai témák Transzfer kapu Kombinációs logikai elemek különböző CMOS megvalósításokkal Meghajtó áramkörök

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Verilog ismertető (Szántó Péter, BME MIT, )

Verilog ismertető (Szántó Péter, BME MIT, ) Verilog ismertető (Szántó Péter, BME MIT, 2006-09-17) Tartalomjegyzék 1. Bevezetés...1 2. Verilog nyelvi elemek...2 2.1. Modulok definiálása...2 2.2. Operátorok...3 2.3. Változók, értékadások...4 2.3.1.

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGIÁLIS ECHNIA II r Lovassy Rita r Pődör Bálint Óbudai Egyetem V Mikroelektronikai és echnológia Intézet 3 ELŐAÁS 3 ELŐAÁS ELEMI SORRENI HÁLÓZAO: FLIP-FLOPO (2 RÉSZ) 2 AZ ELŐAÁS ÉS A ANANYAG Az előadások

Részletesebben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék FPGA & Verilog ismertetı BME Méréstechnika és Információs Rendszerek Tanszék FPGA-k FPGA: Field Programmable Gate Array programozható logikai áramkör Jelentısebb gyártók: Xilinx, Altera, Actel, Quicklogic,

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 4. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 4. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 4. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Misák Sándor SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Nanoelektronikai és Nanotechnológiai Részleg 4. előadás A DIGITÁLIS LOGIKA SZINTJE I. DE TTK v.0.1 (2007.03.13.) 4. előadás 1. Kapuk és Boole-algebra: Kapuk; Boole-algebra;

Részletesebben

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Misák Sándor SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.03.13.) 4. előadás A DIGITÁLIS LOGIKA SZINTJE I. 4. előadás 1. Kapuk és Boole-algebra: Kapuk; Boole-algebra;

Részletesebben

III. Alapfogalmak és tervezési módszertan SystemC-ben

III. Alapfogalmak és tervezési módszertan SystemC-ben III. Alapfogalmak és tervezési módszertan SystemC-ben A SystemC egy lehetséges válasz és egyben egyfajta tökéletesített, tovább fejlesztett tervezési módszertan az elektronikai tervezés területén felmerülő

Részletesebben

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék Miskolci Egyetem Gépészmérnöki és Informatikai Kar 2019/2020. tanév I. félév Automatizálási és Kommunikáció- Technológiai Tanszék Digitális rendszerek I. c. tantárgy előadásának és gyakorlatának ütemterve

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor Verilog ismertető Szántó Péter BME Méréstechnika és Információs Rszerek Tanszék, FPGA Labor 2011-07-20 Tartalomjegyzék 1. Bevezetés... 1 2. Verilog nyelvi elemek... 2 2.1. Modulok definiálása... 2 2.2.

Részletesebben

Kombinációs hálózatok Adatszelektorok, multiplexer

Kombinációs hálózatok Adatszelektorok, multiplexer Adatszelektorok, multiplexer Jellemző példa multiplexer és demultiplexer alkalmazására: adó egyutas adatátvitel vevő adatvezeték cím címvezeték (opcionális) A multiplexer az adóoldali jelvezetékeken jelenlévő

Részletesebben

DIGITÁLIS TECHNIKA 7-ik előadás

DIGITÁLIS TECHNIKA 7-ik előadás IGITÁLI TECHNIKA 7-ik előadás Előadó: r. Oniga István Egyetemi docens 2/2 II félév zekvenciális (sorrendi) hálózatok zekvenciális hálózatok fogalma Tárolók tárolók JK tárolók T és típusú tárolók zámlálók

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

Verilog HDL ismertető 4. hét : hét dia

Verilog HDL ismertető 4. hét : hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 4. hét : 1.-3. hét + 41 61 dia Fehér Béla, Raikovich

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Attribútumok, constraint-ek

Attribútumok, constraint-ek BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Attribútumok, constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich

Részletesebben

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Xilinx System Generator Szántó Péter BME MIT, FPGA Laboratórium Xilinx System Generator MATLAB Simulink Toolbox Simulink Modell alapú grafikus

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38 Bevezetés... 11 1. A VHDL mint rendszertervező eszköz... 13 1.1. A gépi tervezés... 13 1.2. A VHDL általános jellemzése... 14 1.3. Tervezési eljárás VHDL-lel... 15 2. A VHDL nyelv alapszabályai... 19 2.1.

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Kombinációs hálózat. sorrendi hálózat. 1. ábra

Kombinációs hálózat. sorrendi hálózat. 1. ábra 1 SORRENDI (SZEKVENCIÁLIS) HÁLÓZATOK Vannak olyan hálózatok, melyeknél - a kombinációs hálózatokkal ellentétben - a kimenet pillanatnyi állapota (kimeneti kombináció) nem csak a bemenet adott pillanatbeli

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A digitális tervezésben gyakran szükséges a logikai jelek változását érzékelni és jelezni. A változásdetektorok készülhetnek csak egy típusú változás (0 1, vagy

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: 1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: A legegyszerűbb alak megtalálása valamilyen egyszerűsítéssel lehetséges (algebrai, Karnaugh, Quine stb.). Célszerű

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Verilog HDL ismertető

Verilog HDL ismertető BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 1. hét: 1 14 diák 2. hét: 15 25 diák 3. hét: 26

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben