A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN"

Átírás

1 A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN M.D. CILETTI Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado Copyright 1997 No part of these notes may be copied or reproduced in any form without the written permission of the author. A szerző írásbeli engedélye és jóváhagyása alapján átdolgozta és magyarra fordította FEHÉR BÉLA Budapesti Műszaki Egyetem Méréstechnika és Információs Rendszerek Tanszék 1

2 TARTALOM 1. rész: Bevezetés, modellek, hierarchikus tervezés, szimuláció 2. rész: Modulok felépítése, adattípusok, logikai operátorok 3. rész: Felhasználói primitívek, késleltetési modellek 4. rész: Működési leírás modellezése Veriloggal 5. rész: A Verilog szintézis módszereinek ismertetése 2

3 A SZINTÉZIS PARADIGMA TERV BEVITEL HDL VISELKEDÉSI MODELL FUNKCIONÁLIS SZIMULÁCIÓ IDŐZÍTÉS SZIMULÁCIÓ TERV ELLENŐRZÉSE HDL FORRÁS FILE FIZIKAI OPTIMALIZÁLÁS ÉS MEGVALÓSÍTÁS PLD: PARTICIONÁLÁS, MAP, ÖSSZEKÖTÉSEK FPGA: MAP, ELHELYEZÉS, HUZALOZÁS STANDARD CELLÁS ASIC: ELHELYEZÉS, HUZALOZÁS 3

4 HDL-ALAPÚ SZINTÉZIS A HDL-ALAPÚ SZINTÉZIS ELŐNYEI: A SZINTÉZIS ESZKÖZÖK BIZTOSÍTJÁK: A kapu szintű tervezés alternativája Magas szintű tervezési absztrakció Leírja a teljes architektúrát Leírja a funcionalitást A kapu szintű reprezentáció automatikus generálását Optimális megvalósítást Architekturális vizsgálat, elemzés 4

5 HDL-ALAPÚ TERV OPTIMALIZÁLÁS VISELKEDÉSI LEÍRÁS TECHNOLÓGIAI KÖNYVTÁRAK TRANSLATION FORDÍTÓ ENGINE EGYSÉG KÉTSZINTŰ LOGIKAI FÜGGVÉNYEK OPTIMALIZÁLÓ MAPPING ENGINE EGYSÉG OPTIMALIZÁLT TÖBBSZINTŰ LOGIKAI FÜGGVÉNYEK LEKÉPEZŐ MAPPING ENGINE EGYSÉG TECHNOLÓGIAI MEGVALÓSÍTÁS Tervezési célok: Funkcionalitás, felület, időzítés, fogyasztás, tesztelhetőség 5

6 HDL-ALAPÚ TERV ELLENŐZÉS A viselkedési és kapu-szintű funkcionalitás egyezőségét ellenőrizi kell. Verilog viselkedési leírás Logikai Szintézis Kapu szintű leírás Tesztjel Generator Test környezet a terv verifikálására Válaszok Komparálása t Eredmény jelzése 6

7 A SZINTÉZIS SZINTJEI VISELKEDÉSI SZINTÉZIS REGISZTER TRANSZFER SZINTŰ (RTL) SZINTÉZIS VEZÉRLŐ EGYSÉG SZINTÉZIS LOGIKAI SZINTÉZIS 7

8 VISELKEDÉSI SZINTÉZIS CÉL: Adott algoritmushoz szintetizálunk egy architektúrát, melynek erőforrásait és ütemezését az algoritmushoz optimalizáltuk. Fetch B Fetch C Fetch B Fetch C + + Store A Fetch A Fetch E Fetch E A = B + C; D = A * E; X = D - A; * Store D * Fetch D Fetch A - - Store X Store X VERILOG LEÍRÁS ELEMZÉS ADATFOLYAM GRÁF 8

9 REGISZTER TRANSZFER SZINTŰ SZINTÉZIS CÉL: A regiszterekkel, jelekkel és konstansokkal megadott viselkedési leíráshoz szintetizáljuk a modellt közel optimálisan megvalósító architektúrát. FELTÉTELEZÉS: Az erőforrások, ezek kapcsolódásai és ütemezésük az adatfolyam gráf által előre meghatározott. 9

10 VÉGES ÁLLAPOTÚ VEZÉRLŐ SZINTÉZISE CÉL: Az állapot átmeneti gráf lefordítása/kódolása regiszterekre és kombinációs logikai elemekre. (Az RTL szintézis egy speciális esete.) SR LATCH - ÁLLAPOT ÁTMENETI GRÁF SR = 01 S Q 0 1 R Q' MOORE MODELL SR = 10 (NEM SZINTETIZÁLT) 10

11 LOGIKAI FÜGGVÉNYEK OPTIMALIZÁLÁSA ESPRESSO: KÉTSZINTŰ LOGIKAI MINIMALIZÁLÁSA (U CAL - BERKELEY) CÉL: A logikai kifejezések számának minimalizálása egyetlen függvénynél. KITERJESZTÉS A kifejezések helyettesítése kevesebb változót tartalmazó prím implikánsokkal. NEMREDUNDÁNS LEFEDÉS A lehetséges lefedésekből egy minimális halmaz kiválasztása. REDUKCIÓ A nem redundáns lefedés transzformálása egy új lefedésre. 11

12 LOGIKAI FÜGGVÉNYEK OPTIMALIZÁLÁSA MIS-II: TÖBBSZINTŰ LOGIKAI MINIMALIZÁLÁS (U CAL - BERKELEY) A több-bemenetű, több-kimenetű logikai függvényeket az Espresso egyedi függvényeket minimalizáló módszerével nem lehet optimalizálni. Többszintű Kombinációs Logika A többszintű logikai optimalizáló technikák kihasználják a redundáns belső struktúrákat és don t care feltételeket. 12

13 LOGIKAI FÜGGVÉNYEK OPTIMALIZÁLÁSA MIS-II: TÖBBSZINTŰ LOGIKAI MINIMALIZÁLÁS (U CAL - BERKELEY) DEKOMPOZÍCIÓ FEJEZZÜNK KI EGYETLEN FÜGVÉNYT BELSŐ CSOMÓPONTOKKAL F = abc + abd + a c d + b c d F = XY + X Y X = ab Y = c + d a b c d a b c d X Y F F 13

14 LOGIKAI FÜGGVÉNYEK OPTIMALIZÁLÁSA MIS-II: TÖBBSZINTŰ MINIMALIZÁLÁS (U CAL - BERKELEY) KIEMELÉS: FEJEZZÜK KI A FÜGGVÉNYEK EGY CSOPORTJÁT BELSŐ CSOMÓPONTOKKAL F = (a + b)cd + e G = (a + b)e' H = cde e' a b c d + + G F H F = XY + e G = Xe' H = Ye X = a + b Y = cd e' a b c d + X Y + G F H e e OPTIMALIZÁLÁS: BELSŐ CSOMÓPONTOK KERESÉSE A HÁLÓZAT MINIMALIZÁLÁSÁRA, A KÉSLELTETÉS, A FOGYASZTÁS CSÖKKENTÉSÉRE VAGY A TESZTELHETŐSÉG JAVÍTÁSÁRA. 14

15 LOGIKAI FÜGGVÉNYEK MINIMALIZÁLÁSA MIS-II: TÖBBSZINTŰ LOGIKAI MINIMALIZÁLÁS (U CAL - BERKELEY) SZORZATTÁ ALAKÍTÁS: SZORZATOK ÖSSZEGÉBŐL ÖSSZEGEK SZORZATA FORMA F = ac + ad + bc + bd + e F = (a + b)(c + d) + e a b c d e a b c d e + + (a+b) + + (c+d) OPTIMALIZÁLÁS: A MINIMÁLIS SZÁMÚ MŰVELETET TARTALMAZÓ FORMA KERESÉSE. 15

16 LOGIKAI FÜGGVÉNYEK MINIMALIZÁLÁSA MIS-II: TÖBBSZINTŰ LOGIKAI MINIMLIZÁLÁS (U CAL - BERKELEY) HELYETTESÍTÉS: A FÜGGVÉNYT MÁS FÜGGVÉNYEKKEL ÉS SAJÁT RÉSZFÜGGVÉNYEIVEL FEJEZZÜK KI. G = a + b F = a + b + c F = G + c a b c a b c + G + G + F + F 16

17 LOGIKAI FÜGGVÉNYEK MINIMALIZÁLÁSA MIS-II: TÖBBSZINTŰ LOGIKAI MINIMALIZÁLÁS (U CAL - BERKELEY) KIFEJTÉS: EGY BELSŐ CSOMÓPONT MEGSZÜNTETÉSE BEHELYETTESÍTÉSSEL F = Ga + G b F = ac + ad + bc d G = c + d a b c d a b c d + G + F + F 17

18 A LOGIKAI SZINTÉZIS CÉL: A KOMBINÁCIÓS LOGIKAI FÜGGVÉNY OPTIMÁLIS SZINTÉZISE AZ ERŐFORRÁSIGÉNY, SEBESSÉG, FOGYASZTÁS FIGYELEMBE VÉTELÉVEL. Többszintű Kombinációs Logika A technológia: A MIS-II optimalizációs algoritmusai többszörösen kihasználják a logikai egységeket és a don't care feltételeket, miközben megőrzik a tesztelhetőséget és a be-/kimeneti azonosságot. 18

19 SZINTÉZIS A VERILOG HDL NYELVRŐL CÉL A Verilog nyelven adott különböző szintű és stílusú (RTL, FSM, egyenletek) leírások alapján a megfelelő kombinációs és szekvenciális logikai modulok kapu színtű reprezentációjának automatikus generálása az erőforrások, sebesség és fogyasztás paraméterek optimalizálásával. FONTOSABB JELLEMZŐK SZINTETIZÁLHATÓSÁG HATÉKONYSÁG MINŐSÉG 19

20 AZ ERŐFORRÁS - SEBESSÉG KOMPROMISSZUM Kompromisszum: Architektúra, logika, könyvtári elemek Késleltetés Architekturális módosítás Tervezési pont Felület 20

21 LEHETSÉGES TECHNOLÓGIAI OPCIÓK EGYEDI IC-k Darabszám STANDARD CELLÁS IC-k (CBICs) Tervezési idő PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK (PLDS) FPGA-k és maszk prog. áramkörök Technológiai lépés: Exponenciális javulás a sűrűségben, lineáris a késleltetésben Egyszeri (NRE) költségek Gyártási költségek Méret Sebesség, komplexitás 21

22 A HDL-ALAPÚ SZINTÉZIS KÖVETELMÉNYEI A SZINTÉZIS ESZKÖZÖK HATÉKONY HASZNÁLATA MEGKÖVETELI: A megfelelő tervezési módszerek követését A funkciók intelligens partícionálását Ügyes és okos HDL leíró stílus használatát (A várható eredmény előrelátása) Az eszközre vonatkozó gyártói ajánlások figyelembevételét A szintézissel kapott kapu-szintű modell ellenőrzését 22

23 A SZINTÉZIS FONTOS SZABÁLYAI A teljes funkcionalitásra koncentráljunk Készítsünk architekturális tervet, felosztást (top-down) Definiáljuk az egyes egységek funkcionalitását Ellenőrizzük az egységeket (bottom-up) Használjunk technológia független tervezési stílust A kapu szintű szintézist tervezési feltételekkel futassuk Végezzünk a szintézis után ellenőrzést az időzítési adatok és a funkcionalitás ellenőrzésére EREDMÉNY: Technológia függő optimalizált kapu szintű terv. 23

24 A SZINTÉZIS PARADIGMA KÖLTSÉGEI A szintézis eszközöket meg kell venni A tervezői csapatnak meg kell tanulni az új módszert. A tervezői csapatnak meg kell tanulnia az új nyelvet. A tanulási görbe kezdetben lapos. Az eredmények kezdetben nem jósolhatók. Szükség van tervezői intelligenciára! 24

25 SZINTÉZEREK TIPIKUS TULAJDONSÁGAI Elfogadják a teljes Verilog HDL nyelvet Elhanyagolják a nem támogatott szerkezeteket Időzítés adatokat elhanyagolják. Késleltetés vezérlést (#) elhanyagolják. Minden jelet maximális erősségűnek tekintenek. Az 'x' és 'z' értékekkel végzett logikai műveletek tiltottak. Ösztönöznek a szintetizálható szerkezetek használatára. Nem támogatják a tároló elemekre történő hivatkozást. 25

26 SZINTETIZÁLHATÓSÁG Ugyanazon változóra ne hivatkozzunk több 'always' blokkban. (Esetleg a szintetizált rendszer viselkedése eltérő lesz a szimulációtól) Az állapotváltozásokat rendeljük egy közös órajel clock aktív éléhez/éleihez. Az aszinkron 'reset'-et támogatják. 26

27 TECHNOLÓGIA FÜGGETLEN TERVEZÉS Kombinációs logika A tároló elemeket külső órajel ill. reset jel vezérli. A kombinációs logika bemeneti jelei vagy elsődleges bemenetek, vagy a tárolók kimenetei. A kombinációs logika terjedési ideje kevesebb, mint az órajel periódusideje. 27

28 A SZINTÉZIS EREDMÉNYÉNEK ELLENŐRZÉSE Verilog HDL Modell tsim clk reg_a reg_b Ellenőrző környezet Verilog fordítás, optimalizálás ás technologiai leképezés xor Fizikai hálózat modellje tsim clk reg_a reg_b A terv funkcionalitása független a reprezentációs modelltől és ennek késleltetéseitől. Az adatváltozások egy órajel ciklus alatt lezajlanak. A két modell kimeneti értékeinek az órajel éleknél meg kell egyezniük. 28

29 A SZINTÉZIS ELŐNYEI Rövidebb terv megvalósítási idő. Kevesebb kapu szintű hibakeresés szükséges. Hatékony kapu szintű megvalósítás. Egyezés az RTL és kapu szintű leírások között. Könnyebb a terv módosítása, más eszközre váltás. A figyelem a tervezési döntésekre irányulhat. Top-down tervszerkesztés, nyelvi alapú leírással és dokumentációval. 29

30 SZINTETIZÁLHATÓ FUNKCIONÁLIS ELEMEK KOMBINÁCIÓS Multiplexerek Dekóderek Enkóderek Komparátorok Általános logika Táblázatos függvények Összeadók, kivonók ALUs Szorzók PLA Struktúrák Paritás Generátorok SZEKVENCIÁLIS ELEMEK Adat regiszterek és latch-ek Shift regiszterek Regiszter tömbök Akkumlátorok Párhuzamos/soros konverterek SZEKVENCIÁLIS (SZÁMLÁLÓ ALAPÚ) Bináris számlálók Johnson számlálók BCD számlálók Gray számlálók Kapuzott számlálók Pulzus számlálók Időzítők, órajel generátorok Esemény számlálók Memória cím számlálók FIFO memória címmutatók VEZÉRLŐ LOGIKA Vezérlők (Sequencers or Controllers) Állapotgépek (Finite State Machines) Él-detektorok Szinkronizáló egységek A RAM, ROM, aszinkron FIFO elemekhez speciális modul generátorokat kell használni. 30

31 A VERILOG HDL LEÍRÓ STÍLUSA A HDL FORRÁS STÍLUSA DÖNTŐEN BEFOLYÁSOLJA A SZINTETIZÁLT HÁLÓZATOT! A TERVEZŐ BEFOLYÁSOLHATJA AZ IDŐZÍTÉST/ÓRAJELEZÉST A TERV HIERARCHIÁJÁT A FIZIKAI REALIZÁCIÓT AZ ELŐRE DEFINIÁLT BLOKKOKAT A VERILOG TEREZŐI STÍLUSAI GYÁRTÓFÜGGŐEK A tervezőnek meg kell ismernie és értenie az eszközbe épített fordítási algoritmusokat, és a kombinációs és szekvenciális logikák megkülönböztetésének alapvető szabályait. Az aszinkron és szinkron viselkedéseknél figyelemmel kell lenni az órajelezésre. Nem minden algoritmusból lehet áramkört szintetizálni. A terület - sebesség mérlegelés az eszköz belső feltételei szerinti. 31

32 A SZINTÉZEREK NYELVI ESZKÖZKÉSZLETE Modul hivatkozás Név és pozíció szerinti port hozzárendelés input, output, inout port módok macromodule, module parameter TELJESEN TÁMOGATOTT KONSTRUKCIÓK case, casex, casez, endcase default disable function, endfunction if, if... else, if... else... if Résztartományok a hivatkozott változókban Shift, feltételes és összekapcsoló műveleti operátorok Procedurális blokkok (begin end) wire, wand, wor, tri supply0, supply1 task, endtask (Időzítés vagy eseményvezérlés nélkül) Különböző számábrázolások Egész és regiszter típusok Folytonos értékadás Procedurális értékadás Nem-blokkoló értékadás Procedurális-folytonos értékadás 32

33 A SZINTÉZEREK NYELVI ESZKÖZKÉSZLETE RÉSZBEN TÁMOGATOTT KONSTRUKCIÓK *, /, % Mindkét operandusnak konstansnak, vagy a másodiknak 2 hatványának kell lennie. for A hurokváltozó csak statikus változó lehet. fork... join Az esemény vagy késleltetés vezérlés nem lehet nagyobb, mint az órajel periódus. <= Egy viselkedési blokkon belül a blokkoló és nem-blokkoló típusú értékadások nem keverhetők. and, nand, &&, Esetleg az explicit x vagy z konstrukciók nem használhatóak a primitivekben vagy operátorokban. 33

34 A SZINTÉZEREK NYELVI ESZKÖZKÉSZLETE ELHANYAGOLT (FIGYELEMBE NEM VETT) KONSTRUKCIÓK Értékadáson belüli késleltetés vagy eseményvezérlések scalared, vectored small, medium, large specify... endspecify $time weak1, weak0, high0, high1, pull0, pull1 $keyword wait 34

35 A SZINTÉZEREK NYELVI ESZKÖZKÉSZLETE NEM TÁMOGATOTT KONSTRUKCIÓK (Gyártó függő!!!!) Értékadások a baloldalon bit- vagy résztartománnyal Globális változók ===,!== cmos, rcmos, rnmos, nmos, pmos, rpmos tran, tranif0, tranif1, rtran, rtranif0, rtranif1 deassign (Kombinációs esetekre) defparam event force fork, join forever, while initial pulldown, pullup release repeat 35

36 KOMBINÁCIÓS LOGIKA SZINTÉZISE Logikai bemenetek(t) Kombinációs logika Logikai kimenetek(t) Logikai_kimenetek(t) = f(logikai_bemenetek(t)) SZABÁLYOK Kerüljük el a technológiafüggő modelleket, a funkcionalitást modellezzük, ne az időzítést. A kombinációs logika nem tartalmazhat visszacsatolást. A kombinációs viselkedési blokkokban specifikáljuk a kimenetet minden lehetséges bemenet esetére Minden nem tisztán kombinációs viselkedésből a szintézer szekvenciális logikát készít. 36

37 KOMBINÁCIÓS LOGIKA SZINTÉZISE Logikai bemenetek(t) Kombinációs logika Logikai kimenetek(t) Logikai_kimenetek(t) = f(logikai_bemenetek(t)) LEÍRÁSI STÍLUSOK Verilog primitívek és kapuk strukturális huzalozási listája. Kombinációs UDP Függvények Folytonos értékadás Viselkedési utasítások Taszkok időzítés és eseményvez. nélkül. Fentiek összekapcsolt moduljai. 37

38 KOMBINÁCIÓS LOGIKA SZINTÉZISE PÉLDA - PRIMITÍVEK HUZALOZÁSI LISTÁJA (STRUKTURÁLIS) x1 x2 enable x3 x4 w1 w2 y module or_nand_1 (enable, x1, x2, x3, x4, y); input enable, x1, x2, x3, x4; output y; // Belső vezetékeket nem kell deklarálni or (w1, x1, x2); or (w2, x3, x4); nand (y, w1, w2, enable); endmodule 38

39 KOMBINÁCIÓS LOGIKA SZINTÉZISE PÉLDA - FOLYTONOS ÉRTÉKADÁS x1 x2 enable x3 x4 w1 w2 y module or_nand_2 (enable, x1, x2, x3, x4, y); input enable, x1, x2, x3, x4; output y; assign y =!(enable & (x1 x2) & (x3 x4)); endmodule 39

40 KOMBINÁCIÓS LOGIKA SZINTÉZISE PÉLDA - PROCEDURÁLIS UTASÍTÁS (1) module or_nand_3 (enable, x1, x2, x3, x4, y); input enable, x1, x2, x3, x4; output y; reg y; (enable or x1 or x2 or x3 or x4) if (enable) y =!((x1 x2) & (x3 x4)); else y = 1; // konstans operandus. endmodule FIGYELEM: Az eseményvezérlő kifejezésben minden bemenetet fel kell sorolni, különben a szintézer beépít egy latch tárolót. MEGJEGYZÉS: Az eseményvezérlő kifejezés önmagában még nem jelenti regiszter vagy órajel szintézisét. 40

41 KOMBINÁCIÓS LOGIKA SZINTÉZISE PÉLDA - PROCEDURÁLIS UTASÍTÁS (2) module and4_behav (y_out, x_in); input [3:0] x_in; output y_out; reg temp; integer k; assign y_out = temp; (x_in) begin: check_for_0 temp = 1; for (k = 0; k <= 3; k = k+1) if (x_in[k] == 0) begin temp=0; disable check_for_0; end end endmodule MEGJEGYZÉS: A deklarált 'reg' típuső változó nem feltétlenül eredményez egy tároló elemet a szintetizált hálózatban. 41

42 KOMBINÁCIÓS LOGIKA VISELKEDÉSI LEÍRÁSA module comparator (a, b, a_gt_b, a_lt_b, a_eq_b); // Iteratív algoritmus parameter size = 8; input [size-1: 0] a, b; output a_gt_b, a_lt_b, a_eq_b; reg a_gt_b, a_lt_b, a_eq_b; (a or b) begin: compare_loop for (k = size 1; k >= 0; k = k-1) begin if (a[k]!= b[k]) begin a_gt_b = a[k]; a_lt_b = ~a[k]; a_eq_b = 0; disable compare_loop; end // if szerkezet end // for hurok szerkezet a_gt_b = 0; a_lt_b = 0; a_eq_b = 1; end // compare_loop blokk endmodule // Az a és b értéke azonos, ha // bitjeik megegyeznek. // Egyébként az első nagyobb // helyiértékű biten lévő eltérés // meghatározza az eredményt 42

43 A KOMBINÁCIÓS LOGIKA SZINTÉZISÉNÉL ELKERÜLENDŐ KONSTRUKCIÓK Többszörös eseményvezérlés egyetlen viselkedési blokkon belül Nevesített események Visszacsatolt hurkok Esemény vagy késleltetés vezérlést tartalmazó procedurális, folytonos értékadások. fork... join blokkok wait utasítások Külső disable utasítások Procedurális hurkok időzítésse Adat függő hurkok Taszkok időzítés vezérléssel Szekvenciális UDP-k 43

44 A SZINTÉZIS - SZIMULÁCIÓ HATÉKONYSÁG OPTIMALIZÁLÁSA PÉLDA - MÓDOSÍTOTT ÉRZÉKENYSÉGI LISTA module or_nand_4 (enable, x1, x2, x3, x4, y); input enable, x1, x2, x3, x4; output y; reg y; (enable) if (enable) assign y =!((x1 x2) & (x3 x4)); else assign y = 1; // konstans operandus endmodule MEGJEGYZÉS: A procedurális-folytonos értékadás csökkenti az always blokk érzékenységi listájának hosszát, továbbá javítja a szimulációs hatékonyságot az assign érzékenységi listájának dinamicus változtatásával. MEGJEGYZÉS: Az assign értékadásokat itt a deassign nélkül használtuk, így megvalósított kötés fennmarad, amíg más értékadás azt felül nem írja. 44

45 MULTIPLEXEREK SZINTÉZISE LEHETŐSÉGEK FELHASZNÁLÓI PRIMITÍV assign / FELTÉTELES OPERÁTOR VISELKEDÉSI BLOKK case SZERKEZETTEL, PROCEDURÁLIS FOLYTONOS ÉRTÉKADÁSSAL (PCA) VISELKEDÉSI BLOKK if... else SZERKEZETTEL, PROCEDURÁLIS FOLYTONOS ÉRTÉKADÁSSAL (PCA) 45

46 MULTIPLEXER PÉLDÁK assign / conditional case / PCA if... else / PCA module syn1_mux_4bits (y, a, b, c, d, sel); input [3:0] a, b, c, d; input [1:0] sel; output [3:0] y; module syn2_mux_4bits (y, a, b, c, d, sel); input [3:0] a, b, c, d; input [1:0] sel output [3:0] y; reg [3:0] y; module syn3_mux_4bits (y, a, b, c, d, sel); input [3:0] a, b, c, d; input [1:0] sel; output [3:0] y; reg [3:0] y; assign y = (sel == 0)? a : (sel == 1)? b : (sel == 2)? c : (sel == 3)? d : 4 bx; endmodule (sel) case (sel) 0: assign y = a; 1: assign y = b; 2: assign y = c; 3: assign y = d; (sel) if (sel == 0) assign y = a; else if (sel == 1) assign y = b; else if (sel == 2) default assign y = 4'bx; assign y = c; endcase else if (sel == 3) endmodule assign y = d; else assign y = 4 bx; endmodule A case utasítás minden ága azonos precedenciájú, a case alapvetően egy multiplexer architectura. 46

47 MULTIPLEXER PÉLDÁK module mux4ch (y, a, b, c, d, sel); input a, b, c, d; input [1:0] sel output y; reg y; sel[1:0] sel[0] (sel) case (sel) 0: assign y = a; 1: assign y = b; 2: assign y = c; 3: assign y = d; default assign y = 4'bx; endcase endmodule c d a b sel[1] y Bármely változás a sel bemeneten, egy új jelet rendel az y kimenethez. 47

48 AZ 'if' UTASÍTÁS SZINTÉZISE PÉLDA module mux_2 (y, sig_a, sig_b, select); input sig_a, sig_b; input select; output y; reg y; (sig_a or sig_b or select) begin if (select == 0) y = sig_a; else y = sig_b; end; endmodule sig_a sig_b select mux_2 y A SZINTÉZIS EREDMÉNYE: MULTIPLEXER 48

49 MUXLTIPLEXER VEZÉRLŐ LOGIKÁVAL assign sig_out = (select == 1) (sig_g == 1) (sig_max == 0))? sig_a : sig_b; A SZINTÉZIS EREDMÉNYE: Multiplexer vezérlő logikával. sig_g select sig_max sig_b sig_a sig_out 49

50 MULTIPLEXER ADATÚT ELEMEKKEL assign sum = (select)? data_c + data_d : data_a + data_b; A SZINTÉZIS EREDMÉNYE: Összeadó multiplexelt adatúttal. select data_a data_c mux_2 data_b Add y data_d mux_2 Megjegyzés: Elképzelhető egy kevésbé hatékony alternatíva is! 50

51 AZ 'if' UTASÍTÁS ÉS A PRIORITÁS KEZELÉSE MEGJEGYZÉS: Az 'if' utasítások feltételei az ugrások végrehajtása során prioritásokat is jelentenek. PÉLDA module mux_4pri (y, a, b, c, d, sel_a, sel_b, sel_c); input a, b, c, d, sel_a, sel_b, sel_c; output y; reg y; (sel_a or sel_b or sel_c or a or b or c or d) begin if (sel_a == 1) y = a; else if (sel_b == 0) y = b; else if (sel_c == 1) y = c; else y = d; end endmodule sel_a sel_b sel_c d c b a mux_2 mux_2 mux_2 A SZINTÉZIS EREDMÉNYE: Kaszkádosított multiplexerek vagy ennek megfelelő kapuk. 51

52 A KOMBINÁCIÓS LOGIKA SZINTÉZISÉNEK FELTÉTELEI A viselkedési blokk minden bemeneti jele explicit vagy implicit módon szerepeljen az eseményvezérlő kifejezésben. Bemenetek az értékadások jobb oldalán álló operandusok, és bármilyen olyan vezérlőjel, amely az értékadás baloldalán lévő vátozókra hatással van. Az eseményvezérlő kifejezésnek a viselkedési leírás elején explicit (@(enable, sel, a, b)) vagy implicit (@ enable) módon minden vezérlőjelet tartalmaznia kell, ami a baloldalt befolyásolja. Azok a jelek, amelyek a prodecedurális értékadás jobb oldalán operandusként szerepelnek, nem fordulhatnak elő az értékadás baloldalán (a visszacsatolás tiltott). A kombinációs logika szintézise szempontjából a procedurális folytonos értékadás (PCA) a kedvezőbb. (A PCA dinamikus kötéseket valósít meg.) A nem teljesen specifikált feltételes kifejezések, (case,?:, if) ill. utasítások nem kívánt latch tárolók szintéziséhez vezetnek, ami már nem kombinációs logika. Függvényekben a nem teljesen specifikált (case,?:, if) feltételes utasítás nem megengedett. 52

53 AZ ESEMÉNYVEZÉRLŐ KIFEJEZÉS JAVASOLT STÍLUSA (opcode or a or b) case (opcode) 3'b111: out_i = a & b; 3'b011: out_i = a b; 3'b001: out_i = a^b; default: out_i = 2'bx; endcase // Less efficient. (opcode) case (opcode) 3'b111; assign out_i = a & b; 3'b011: assign out_i = a b; 3'b001: assign out_i = a^b; default: assign out_i = 2'bx; endcase // Preferred Megjegyzés: a és b implicit módon jelenik meg az esemény vezérlő kifejezésben. MEGJEGYZÉS: Ne keverjük a PCA és a procedurális értékadást ugyanazon változóra. MEGJEGYZÉS: A kombinációs logikát modellező viselkedési leírásnak, blokknak eseményvezérlő kifejezést mindenképpen kell tartalmaznia. 53

54 PÉLDA NEM TELJES 'case' UTASÍTÁSRA sel_a or sel_b or data_a or data_b) case ({sel_a, sel_b}) 2'b10: y_out = data_a; 2'b01: y_out = data_b; endcase A megvalósítás egy latch-et tartalmaz, amelyet nem az eseményvezérlő kifejezés, hanem a case kifejezés két kiválasztott kombinációja engedélyez csak. data_b sel_a sel_b data_a En Latch y_out A latch engedélyezése a case két feltételének ({sel_a, sel_b} == 2'b10) és ({sel_a, sel_b} == 2'b01) VAGY kapcsolatából származik. 54

55 NEM TELJES FELTÉTELES 'if' UTASÍTÁS module incomplete_and (y, a1, a2); input a1, a2; output y; reg y; (a1 or a2) begin if (a1 && a2) y = 1; end endmodule MEGJEGYZÉS: Az eredmény az első teljesülés után konstans y=1. 55

56 A DEFAULT ÉRTÉKEK KEZELÉSE A tisztán kombinációs case utasításokban a szimuláció és szintézis eredménye azonos lesz, ha a default értékadások 0 vagy 1 értékekre vonatkoznak. A szimuláció eredménye eltérő lehet, ha a default értékadás 'x' értéket használ. A casex és casez utasítások normál case utasításokra konvertálódnak és a "don t care" bitek az összehasonlításban nem szerepelnek. Az elsődleges bemeneteken keletkező "don t care" feltételek a szintézis során érvényesek maradnak. 56

57 LOGIKAI KAPUK SZINTÉZISE A logikai kapukkal definiált hálózatokat logikai egyenletekre fordítja. A logikai egyenleteket optimalizálja. Az optimalizált logikai egyenleteket a könyvtári cellákkal realizálja. A komplex viselkedési funkciók, melyeket kapukkal modelleztünk, nem helyettesítődnek komplex könyvtári modulokkal (pl. összeadó, szorzó) A felhasználói interfész megengedi a kapu szintű modellek megőrzését a szintézis során. 57

58 PÉLDA A LOGIKAI OPTIMALIZÁCIÓRA module boole_opt (y_out1, y_out2, a, b, c, d, e); input a, b, c, d, e; output y_out1, y_out2; and (y1, a, c); and (y2, a, d); and (y3, a, e); or (y4, y1, y2); or (y_out1, y3, y4); and (y5, b, c); and (y6, b, d); and (y7, b, e); or (y8, y5, y6); or (y_out2, y7, y8); endmodule 58

59 OPTIMALIZÁLÁS ELŐTTI KAPU SZINTŰ MODELL e a y_out1 y_out2 b c d 59

60 OPTIMALIZÁLT ÉS KAPUKKAL REALIZÁLT VERZIÓ c d e y_out1 a b y_out2 60

61 KOMBINÁCIÓS FELHASZNÁLÓ ÁLTAL DEFINIÁLT PRIMITÍV SZINTÉZISE Minden kombinációs UDP szintetizálható. A táblázatok előírásait logikai egyenletekké alakítja, optimalizálja és leképezi a könyvtári cellákra. A szintézis eszközök elhanyagolják az 'x' táblázat bejegyzéseket (pesszimista feltételezés) A '?' táblázat bejegyzéseket don t care -ként kezeli és ezt az optimalizálás során ki is használja. A szintézis utáni szimuláció eredménye eltérő lehet a szintézis előtti szimuláció eredményétől. 61

62 PÉLDA UDP SZINTÉZISE primitive boolean_eqs (y, a, b, c, d); output y; input a, b, c, d; table // Inputs Output // a b c d y : 0 ; : 0 ; : 1 ; : 0 ; : 1 ; : 1 ; : 0 ; endtable endprimitive a b c d Combinational UDP y 62

63 PÉLDA ENKÓDER SZINTÉZISÉRE module encoder (Data, Code); 8 3 input [7:0] Data; Data[7:0] encoder Code[2:0] output [2:0] Code; reg [2:0] Code; (Data) begin if (Data == 8 b ) Code = 0; else if (Data == 8 b ) Code = 1; else if (Data == 8 b ) Code = 2; else if (Data == 8 b ) Code = 3; else if (Data == 8 b ) Code = 4; else if (Data == 8 b ) Code = 5; else if (Data == 8 b ) Code = 6; else if (Data == 8 b ) Code = 7; else (Data) case (Data) 8 b : Code = 0; 8 b : Code = 1; 8 b : Code = 2; 8 b : Code = 3; 8 b : Code = 4; 8 b : Code = 5; 8 b : Code = 6; 8 b : Code = 7; Code = 3 bx; default : Code = 3 bx; end endmodule endcase endmodule FELTÉTELEZÉS: A működés során csak a jelzett szavak fordulnak elő. A default értékadás ezért kötelező, a nem kívánt extra latch-ek szintézisét akadályozza meg. A szintézis során ezek "don t care" bejegyzésekként kezelődnek. 63

64 PÉLDA PRIORITÁS ENKÓDER SZINTÉZISÉRE module priority (Data, Code, valid_data); input [7:0] Data; output [2:0] Code; output valid_data; reg [2:0] Code; assign valid_data = Data; // red. VAGY Data[7:0] 8 priority 3 Code[2:0] valid_data (Data) begin if (Data[7]) Code = 7; else if (Data[6]) Code = 6; else if (Data[5]) Code = 5; else if (Data[4]) Code = 4; else if (Data[3]) Code = 3; else if (Data[2]) Code = 2; else if (Data[1]) Code = 1; else if (Data[0]) Code = 0; else Code = 3 bx; end endmodule (Data) casex (Data) 8 b1xxxxxxx : Code = 7; 8 b01xxxxxx : Code = 6; 8 b001xxxxx : Code = 5; 8 b0001xxxx : Code = 4; 8 b00001xxx : Code = 3; 8 b000001xx : Code = 2; 8 b x : Code = 1; 8 b : Code = 0; default : Code = 3 bx; endcase endmodule 64

65 PÉLDA DEKÓDER SZINTÉZISÉRE module decoder (Code, Data); output [7:0] Data; input [2:0] Code; reg [7:0] Data; Code[2:0] 3 decoder 8 Data[7:0] (Code) begin if (Code == 0) Data = 8 b ; else if (Code == 1) Data = 8 b ; else if (Code == 2) Data = 8 b ; else if (Code == 3) Data = 8 b ; else if (Code == 4) Data = 8 b ; else if (Code == 5) Data = 8 b ; else if (Code == 6) Data = 8 b ; else if (Code == 7) Data = 8 b ; else Data = 8 bx; end endmodule (Code) case (Code) 0 : Data = 8 b ; 1 : Data = 8 b ; 2 : Data = 8 b ; 3 : Data = 8 b ; 4 : Data = 8 b ; 5 : Data = 8 b ; 6 : Data = 8 b ; 7 : Data = 8 b ; default: Data = 8 bx; endcase endmodule 65

66 ÖSSZEADÓK SZINTÉZISE A kapukkal modellezett összeadókat (tehát a hierarchikus modulokból és primitívekből felépített egységeket) az eszközök nem szintetizálják a könyvtári összeadó cellákra. A megfelelő művelettel definiált összeadókat, kivonókat és komparátorokat a szintézerek a könyvtári elemek komplex celláira képezi le. assign accum_out = data_a + accum; Ha a könyvtár nem tartalmaz összeadót vagy kivonót, az eszköz generálja a megfelelő logikai egyenleteket. 66

67 ÖSSZEADÓK SZINTÉZISE module adder_9 (add_out, add_a_in, add_b_in); input [8:0] add_a_in, add_b_in; output [9:0] add_out; assign add_out = add_a_in + add_b_in; endmodule 67

68 ERŐFORRÁS MEGOSZTÁS Az erőforrások a feltételes operátorok egymást kölcsönösen kizáró ágai között megoszthatók. Az erőforrás megosztás opcióként vezérelhető a felhasználói interfészen keresztül (pl. complex operator constraint ) PÉLDA - MEGOSZTÁS assign y_out = sel? data_a + accum : data_a + data_b; A szintézis eredménye multiplexelt adat utak és megosztott összeadó. Megosztás nélkül két összeadót generálnak az eszközök. 68

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

A Verilog HDL áttekintése

A Verilog HDL áttekintése Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A Verilog HDL áttekintése

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 3. Verilog blokkok és struktúrák Végh János: Bevezetés a Verilog hardverleíró nyelvbe c. jegyzete nyomán Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2..év hó nap NÉV:...neptun kód:.. Kurzus: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal

Részletesebben

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István LOGIKI TERVEZÉS PROGRMOZHTÓ ÁRMKÖRÖKKEL Elő Előadó: Dr. Oniga István Funkcionális kombinációs ió egységek következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

Verilog HDL ismertető 4. hét : hét dia

Verilog HDL ismertető 4. hét : hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 4. hét : 1.-3. hét + 41 61 dia Fehér Béla, Raikovich

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

Verilog ismertető (Szántó Péter, BME MIT, )

Verilog ismertető (Szántó Péter, BME MIT, ) Verilog ismertető (Szántó Péter, BME MIT, 2006-09-17) Tartalomjegyzék 1. Bevezetés...1 2. Verilog nyelvi elemek...2 2.1. Modulok definiálása...2 2.2. Operátorok...3 2.3. Változók, értékadások...4 2.3.1.

Részletesebben

Digitális technika VIMIAA01 5. hét

Digitális technika VIMIAA01 5. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

Verilog HDL ismertető

Verilog HDL ismertető BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 1. hét: 1 14 diák 2. hét: 15 25 diák 3. hét: 26

Részletesebben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék FPGA & Verilog ismertetı BME Méréstechnika és Információs Rendszerek Tanszék FPGA-k FPGA: Field Programmable Gate Array programozható logikai áramkör Jelentısebb gyártók: Xilinx, Altera, Actel, Quicklogic,

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

Verilog HDL ismertető 2. hét : 1. hét dia

Verilog HDL ismertető 2. hét : 1. hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 2. hét : 1. hét + 15 25 dia Fehér Béla, Raikovich

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN M.D. CILETTI Department of Electrical and Computer Engineering University of Colorado Colorado Springs, Colorado Copyright 1997 No part

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38 Bevezetés... 11 1. A VHDL mint rendszertervező eszköz... 13 1.1. A gépi tervezés... 13 1.2. A VHDL általános jellemzése... 14 1.3. Tervezési eljárás VHDL-lel... 15 2. A VHDL nyelv alapszabályai... 19 2.1.

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Digitális Technika Elméleti

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA a LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA a LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA a LOGIKAI SZINTÉZISBEN M.D. CILETTI Deparmen of Elecrical and Compuer Engineering Universiy of Colorado Colorado Springs, Colorado Copyrigh 1997 No par of hese

Részletesebben

Összetett feladatok megoldása

Összetett feladatok megoldása Összetett feladatok megoldása F1. A laboratóriumi feladat a legnagyobb közös osztó kiszámító algoritmusának realizálása digitális hardver eszközökkel. Az Euklideszi algoritmus alapja a maradékos osztás,

Részletesebben

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor Verilog ismertető Szántó Péter BME Méréstechnika és Információs Rszerek Tanszék, FPGA Labor 2011-07-20 Tartalomjegyzék 1. Bevezetés... 1 2. Verilog nyelvi elemek... 2 2.1. Modulok definiálása... 2 2.2.

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 6. Véges állapotgépek: közlekedési lámpa vezérlése Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog

Részletesebben

Egyszerű mikroprocesszor RTL modellek (VHDL)

Egyszerű mikroprocesszor RTL modellek (VHDL) Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű mikroprocesszor RTL modellek (VHDL) Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 11. Horváth Péter Egyszerű mikroprocesszor RTL modellek

Részletesebben

Attribútumok, constraint-ek

Attribútumok, constraint-ek BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Attribútumok, constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 2. Verilog HDL alapok Végh János: Bevezetés a Verilog hardverleíró nyelvbe c. jegyzete nyomán Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Digitális Technika Elméleti

Részletesebben

III. Alapfogalmak és tervezési módszertan SystemC-ben

III. Alapfogalmak és tervezési módszertan SystemC-ben III. Alapfogalmak és tervezési módszertan SystemC-ben A SystemC egy lehetséges válasz és egyben egyfajta tökéletesített, tovább fejlesztett tervezési módszertan az elektronikai tervezés területén felmerülő

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A 2. gyakorlaton foglalkoztunk a 3-mal vagy 5-tel osztható 4 bites számok felismerésével. Abban a feladatban a bemenet bitpárhuzamosan, azaz egy időben minden adatbit

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Digitális Technika Elméleti

Részletesebben

Digitális technika VIMIAA02 2. EA Fehér Béla BME MIT

Digitális technika VIMIAA02 2. EA Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 2. EA Fehér Béla BME MIT Digitális Technika

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész Hobbi Elektronika A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog

Részletesebben

DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások

DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások III. Kombinációs hálózatok 1. Tervezzen kétbemenetű programozható kaput! A hálózatnak két adatbenemete (a, b) és két funkcióbemenete (f, g) van. A kapu

Részletesebben

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Verilog RTL kódolás Fehér

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104.

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104. Logikai hálózatok Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St. I. em. 04. Tanszéki honlap: www.kjit.bme.hu/hallgatoknak/bsc-targyak-3/logikai-halozatok Gyakorlatok: hétfő + 08:5-0:00 J 208 HF: 4.

Részletesebben

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai

Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Xilinx System Generator Szántó Péter BME MIT, FPGA Laboratórium Xilinx System Generator MATLAB Simulink Toolbox Simulink Modell alapú grafikus

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék Miskolci Egyetem Gépészmérnöki és Informatikai Kar 2019/2020. tanév I. félév Automatizálási és Kommunikáció- Technológiai Tanszék Digitális rendszerek I. c. tantárgy előadásának és gyakorlatának ütemterve

Részletesebben

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Előadó: Dr. Bede Zsuzsanna, adjunktus Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St.

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN

A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN A VERILOG HARDVER LEÍRÓ NYELV ALKALMAZÁSA A LOGIKAI SZINTÉZISBEN Az OPEN VERILOG INTERNATIONAL (OVI) szervezet felkérésére készítette MICHAEL D. CILETTI Department of Electrical and Computer Engineering

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS Arató Péter: Logikai rendszerek tervezése, Tankönyvkiadó,

Részletesebben

Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT Kombinációs logikák

Részletesebben

Digitális technika VIMIAA02 7. hét

Digitális technika VIMIAA02 7. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT Kombinációs logikák

Részletesebben

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök 4. hét: Ideális és valódi építőelemek Steiner Henriette Egészségügyi mérnök Digitális technika 2015/2016 Digitális technika 2015/2016 Bevezetés Az ideális és valódi építőelemek Digitális technika 2015/2016

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK VIMIAA02 14. hét Fehér Béla BME MIT Rövid visszatekintés, összefoglaló

Részletesebben

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre VEZÉRLŐK Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. A fealdatot

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

A programozás alapjai előadás. Amiről szólesz: A tárgy címe: A programozás alapjai

A programozás alapjai előadás. Amiről szólesz: A tárgy címe: A programozás alapjai A programozás alapjai 1 1. előadás Híradástechnikai Tanszék Amiről szólesz: A tárgy címe: A programozás alapjai A számítógép részegységei, alacsony- és magasszintű programnyelvek, az imperatív programozási

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

A fordítóprogramok szerkezete. Kódoptimalizálás. A kódoptimalizálás célja. A szintézis menete valójában. Kódoptimalizálási lépések osztályozása

A fordítóprogramok szerkezete. Kódoptimalizálás. A kódoptimalizálás célja. A szintézis menete valójában. Kódoptimalizálási lépések osztályozása A fordítóprogramok szerkezete Forrásprogram Forrás-kezelő (source handler) Kódoptimalizálás Fordítóprogramok előadás (A,C,T szakirány) Lexikális elemző (scanner) Szintaktikus elemző (parser) Szemantikus

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 14. hét Fehér Béla BME MIT Digitális technika

Részletesebben

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN 14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN A digitális berendezések kijelzőjének kezelésénél gyakori feladat a kijelzett tartalom mozgatása valamilyen szabály szerint. Ebben a példában

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS ELŐÍRT TANKÖNYV-IRODALOM Sorrendi hálózatok, flip-flopok, regiszterek, számlálók,

Részletesebben