MIKROELEKTRONIKA, VIEEA306

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "MIKROELEKTRONIKA, VIEEA306"

Átírás

1 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 Integrált áramkörök: áttekintés, főbb jellemzők, a gyártás és a tervezés kapcsolata, költségek

2 Újból a gyártásról és a fejlődési trendekről A Moore törvény és annak megnyilvánulásai Roadmap adatok Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

3 Ismétlés Láttuk, hogy a mai modern digitális IC-k milyen alapegységekből építkeznek CMOS alapkapuk főbb tulajdonságok, konstrukció logikai modellből kapcsolási rajz Láttuk, hogy a gyártási folyamatnak mi a lényege planáris technológia, fotolitográfia Láttuk, hogy a felületi struktúrát a maszkok 2D-s alakzatai egyértelműen meghatározzák: layout Láttuk, hogy a logikai sémából egyenes út vezet a layoutig: Si-compiler Most áttekintjük az IC gyártás egyéb aspektusait Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

4 Mikroelektronika: az egyik leggyorsabban fejlődő iparág Moore törvény 1965-ben Gordon More megjósolta, hogy az egy lapkára integrálható tranzisztorok száma havonta megduplázódik (exponenciális növekedés) A jóslat továbbra is helytálló. Az 1 millió tranzisztor/lapka határt az iparág a 80-as években törte át 2300 tranzisztor, 1 MHz-es órajel frekvencia (Intel 4040) millió tranzisztor (Ultra Sparc III) 42 millió tranzisztor, 2 GHz-es órajel frekvencia clock (Intel P4) millió tranzisztor, (HP PA-8500) More than Moore: elemsűrűség erőteljesebb fokozása, pl. 3D kialakítással (pl. RAM-ok, lásd pen drive-ok) Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

5 Mikroelektronika: az egyik leggyorsabban fejlődő iparág 2300 tranzisztor 42 millió tranzisztor Intel 4004 Intel Pentium IV Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

6 Budapesti Műszaki és Gazdaságtudomanyi Egyetem Változás: automatikus tervezés és reguláris struktúrák Intel 4004 ( 71) Intel 8080 Intel Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET 2008 Intel 8085 Intel 8486 Forrás: Intel 6

7 Processzorok 2002-es toplistája Föbb jellemzők összefoglaló táblázata: órajel frekvencia, lapka mérete, tranzisztorok száma fogyasztás Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

8 A Moore törvény processzorokra A tranzisztorok száma kb. 2 évente megduplázódik: X growth in 1.96 years! Transistors (MT) P6 Pentium proc Forrás: Intel Year Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

9 Legújabb Intel Xenon processzor 1.9 milliárd tranzisztor 45nm technológia 16Mbyte Cache 6 mag Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

10 A DRAM kapacitás fejlődése Három évente 4-szeres növekedés: Kbit capacity/chip μm óra audio CD, μm 30 s HDTV μm μm átlagos könyv μm emberi agy, μm emberi DNS μm μm μm 1 A4-es gépelt oldal Year Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

11 Lapka méret (die size) növekedése 10 év alatt kb. 2-szeres növekedés, 7%-os éves növekedés (megfelel a Moore tv-nek) 100 Die size (mm) P6 Pentium proc Forrás: Intel Year Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

12 Órajel frekvencia növekedése 2 év alatt kb. 2-szeres növekedés X every 2 years Frequency (Mhz) P6 Pentium proc Forrás: Intel Year Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

13 Növekvő fogyasztás (disszipáció) Folyamatos növekedés figyelhető meg a vezető processzorok esetében Power (Watts) Korlátozó tényezővé válik P6 Pentium proc Year Forrás: Intel Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

14 A technológia trendjei: SIA roadmap Vezető ipari szakértők által folyamatosan frissített előrejlezések a mikroelektronikai technológiák (IC gyártás) várható fejlődési irányairól Year Feature size (nm) Mtrans/cm Chip size (mm 2 ) Signal pins/chip Clock rate (MHz) Wiring levels Power supply (V) High-perf power (W) Battery power (W) NTRS = National Technolgy Roadmap for Semiconductors SIA = Semiconductor Industry Association Node years: 2007/65nm, 2010/45nm, 2013/33nm, 2016/23nm Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

15 A minimális csíkszélesség (MFS) csökkenésére adott jóslatok Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

16 Tápfeszültség, küszöbfeszültség, oxidvastagság alakulása Közelítjük a fizikai korlátokat: A tápfeszültség, a küszöbfeszültség és a gate oxid vastagságának csökkentése, a csatornahossz csökkenésével Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

17 Tervezői produktivitás növekedése A tervezői produktivitás növekedése elmarad a méretcsökkentés miatti komplexitás növekedés mögött: 10, ,000 Complexity Logic Transistor per Chip (M) 1, Logic Tr./Chip Tr./Staff Month. x x x x x x x x 58%/Yr. compounded Complexity growth rate 21%/Yr. compound Productivity growth rate 10,000 1, Productivity (K) Trans./Staff - Mo Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

18 Az IC tervezés és gyártás néhány globális problémája Növekvő komplexitás, növekvő költségek Szakadék a komplexitás és a tervezői kapacitások között Gyártás és tervezés szétválása Költségcsökkentési módok Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

19 Kihívások a tervezés kapcsán Mikroszkópikus dolgok ultra nagy sebességek disszipáció és feszültségesés a vezetékeken az összekötő vezetékek növekvő jelentősége zaj, áthallás megbízhatóság, gyárthatóság órajel szétosztása ÉV Tech. (MFS, μm) Komplexitás Makroszkópikus dolgok piacra való bevezetés ideje (time-tomarket) a tervezés bonyolultsága (milló darab kapu) magas absztrakciós szint tesztelhetőre tervezés újrafelhasználhatóság, szellemi tulajdon (IP) kérdése, portolhatóság systems on a chip (SoC) különböző tervező eszközök együttműködése (tool interoperability) Órajel frekvencia Tervezői létszám 3 évre Tervezési költség M Tr. 400 MHz 210 $90 M M Tr. 500 MHz 270 $120 M M Tr. 600 MHz 360 $160 M M Tr. 800 MHz 800 $360 M Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

20 Egy globális terv jellemzői Funkcionalitás Költségek Egyszeri, fix költségek (NRE) a tervezésre fordított munka Darabszám arányos költségek (RE) anyagok, tokozás, tesztelés Megbízhatóság, robosztusság Zaj határok Zajtűrés Jóság / teljesítmény (performance) Sebesség (késleltetés) Fogyasztás (energiaigény) Piacra való bevezetéshez szükséges idő (time-to-market) Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

21 IC-k előállítási költségei Egyszeri, fix költségek: NRE (non-recurring engineering) Az IC terv előállításának költségei a tervezésre fordított munka, tervező CAD rendszer licenszdíja a terv ellenőrzésére fordított munka a maszk előállítás költségei A terv bonyolultsága és a tervező produktivitása (termelékenysége) határozzák meg Kis sorozatú gyártásnál jelentősebb a hatása Rendszeres költségek arányosak a termelés volumenével szilícium megmunkálásának költsége a chip felületével is arányos szerelés (tokozás) tesztelés 1 IC-re eső kltsg. = darabszám arányos kltsg. per IC + Ehhez jön még az IC gyár amortizációs költsége is fix kltsg. darabszám Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

22 IC-k előállítási költségei Az egyszeri költségek (NRE) egyre növekednek: Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

23 Egy tranzisztor költsége költség: cent / tranzisztor tranzisztorra eső beruházási költség (Moore tv.) Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

24 Darabszám arányos költségek IC lapka (chip vagy die) (AMD Athlon processzorok) Si szelet (wafer) Befolyásolják szelet mérete, lapka mérete kihozatal: működő db / gyártott db tesztelés gyártás közben, még a szeleten tokozás után szerelés (assembly) költségei darabszám = π (szeletátmérő/2)2 lapkafelület π szeletátmérő - 2 lapkafelület Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

25 Darabszám arányos költségek kihozatal = (1 + (felületegységre eső hibaszám lapkafelület)/α) -α 1 jó lapka költsége = db arányos kltsg = 1 szelet költsége darabszám kihozatal lapka kltsg + lapkateszt kltsg + tokozási kltsg. végső tesztelés kihozatala Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

26 Számpélda kihozatalra Példa szeletátmérő 12", lapkaméret 2.5 cm 2, 1 hiba/cm 2, α = 3 (a technológia bonyolultságának mérőszáma) 252 lapka/szelet (kerek szelet, szögletes lapkákkal!) jó lapkák kihozatala: 16% 252 x 16% = csak 40 lapka / szelet! Egy lapka költsége a lapkamérettől (felülettől) erősen függ a felület 3. vagy 4. hatványával arányos Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

27 Példák a költségtényezőkre Chip Szelet kltsg. hiba / cm 2 Felület (mm 2 ) lapka / szelet Fémrétegek csíkszélesség Kihozatal lapka kltsg. 386DX $ % $4 486DX $ % $12 PowerPC $ % $ HP PA $ % $ DEC Alpha $ % $149 Super $ % $272 SPARC Pentium $ % $ Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

28 Tervezői produktivitás növekedése A tervezői produktivitás növekedése elmarad a méretcsökkentés miatti komplexitás növekedés mögött: 10, ,000 Complexity Logic Transistor per Chip (M) 1, Logic Tr./Chip Tr./Staff Month. x x Lehetséges x x áthidaló 21%/Yr. compound megoldás: 1 x x x x Productivity growth rate 1. MAGAS ABSZTRAKCIÓS SZINTEN TERVEZÜNK %/Yr. compounded Complexity growth rate 2. AUTOMATIKUS FIZIKAI SZINTÉZIS 10,000 1, Productivity (K) Trans./Staff - Mo Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

29 Gyártás és tervezés Vertikális struktúra kialakítása: technológia Horizontális struktúra kialakítása: tervezés Időben és térben elkülönülnek A kettő közötti kapcsolatot az adott technológiához rendelt tervezési szabályok adják meg. Mindez a geometriai konstrukcióra vonatkozik. Az eszközműködésre vonatkozólag az eszközök modellparaméterei jelentik a kapcsolatot a tervezés és a gyártás között Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

30 Tervezés és gyártás Gyártósorok egyre drágábbak: milliárd $ nagyságrend egyre kevesebb IC gyártóhely Gyártástechnológiák egyre drágábbak maszkgyártás költsége mint egyre növekvő NRE KEVÉS HELYEN GYÁRTANAK SOK HELYEN TERVEZNEK waferless fab Magyarországon is, pl.: Silicon Labs (régen: Integration Hungary), Duolog Élesen szétválik a tervezés és a gyártás, de a tervezéshez is ismerni kell az eszközök működését Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

31 Költségcsökkentési módok Előre tervezettség pl. az ún. standard cellás tervezés (részletesen lásd később) Lényege: Előre megtervezett áramköri elemekkel dolgozunk. (Mind áramkör, mind layout szinten kész elemek.) Előre gyártás Extrém példa digitális áramkörökre: FPGA (Altera, Xilinx) FPGA = field programmable gate array felhasználó által programzoható összeköttetésű kapu mátrix. Minden megvan benne, a gyártás fix költségei nagyon nagy darabszám között oszlanak meg. Az egyedi áramkör fejlesztését csak a magasszintű HDL-en történő tervezés költsége terheli Ma egyre jobban terjedő megvalósítási mód Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

32 Költségcsökkentési módok MPW multi-project wafer egy szelet sok tervező áramköreinek együttes gyártása, tipikusan terv egy szeleten teljesen egyedi tervezés teljesen egyedi gyártás költségek (NRE-k) felé oszlanak prototípus gyártás / kis sorozatú gyártás (small volume production) Részleteket lásd később Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

33 IC tervezőrendszerek áttekintése Absztrakciós szintek Jellegzetes programeszközök Tervezés HDL-en lásd labor Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

34 Mikroelektronikai CAD elemei Szimulátor: Rendszer szimuláció Reprezentáció: Viselkedési leírás Specifikáció VHDL-ben vagy Verilog-ban Absztrakciós szint: Rendszer szintű tervezés Logikai szimuláció Szintézis Struktúrális leírás Logikai tervezés Sémaeditor időzítési paraméterek Áramkörszimuláció Layout generálás Layout leírás Tranzisztor szintű tervezés Layout editor eszközparaméterek tervezési szabályok Fizikai eszközszimuláció Technológiai szimuláció Optimalizálás Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

35 Tervezőrendszerek elemei Áramkörbevitel HDL (Verilog, VHDL) viselkedési leírás (Verilog, VHDL, SystemC) strukturális leírás (Verilog, VHDL) Grafikus megadás (strukturális) Szimuláció (minden absztrakciós szinten) rendszer, kapu szintű logikai, áramköri megjelenítő eszközök koncepcionális tervezés, fizikai tervek ellenőrzése Magas szintű szintézis Layout szintézis Minden absztrakciós szinten: a terv adott reprezentációja adatbázisok Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

36 Tervezőrendszerek elemei Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

37 Tervezőrendszerek elemei Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

38 Áramkörbevitel HDL-en Korábban számtalan in-house HDL egységesíteni kellett szabványok VHDL(Very high speed IC Hardware Description Language): az USA hadügyminisztéiuma (DoD) által meghatározott nyelv, ez lett az IEEE szabvány. Mindenfajta rendszer minden típusú leírására alkalmas Lehetséges absztrakciós szintek, ahol alkalmazható: Viselkedési (Behavioral): az algoritmus leírására Regiszter Átviteli Szint (Register Transfer Level, RTL): adatáramlás (data flow) leírására Szerkezeti (Structural) : kapuszintű leírás Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

39 A VHDL jellemzői Technológia független leírás Általánosan használható (generic), szerkezeti szinten környezettől, eszköz karakterisztikáktól független Jól olvasható (jól felkommentározva!) Egyed bejelentési (entity) és Építmény (architecture) részekből áll Egyed bejelentés: a név, a ki- és bemeneti kapuk, paraméterek megadása Építmény: A feladatkör és a fizikai paraméterek megadása benne a begin és end közötti utasításokat egyszerre (hardver!) kell végrehajtani, nem egymás után Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

40 Verilog, SystemC Verilog: a C nyelvből származtatott hardver leíró nyelv. A CADENCE tervező programozási nyelve Egyszerű, jól olvasható, legtöbb tervezőrendszer ismeri SYSTEM C: új, a C++ nyelven alapuló hardware leíró nyelv a hardware-software co-design szokásos nyelve valójában C++ osztályok gyűjteménye Szintézis lehetősége: 1. SystemC Verilog konverter 2. Verilog VHDL konverter 3. VHDL alapú szintézis program Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

41 Egyszerű példák VHDL Verilog Lásd: Verilog labor Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

42 Hardvertervezés HDL-en Olyan, mintha programoznánk DE: hardver lesz a végeredmény Pl. egy for (i=0, i<n, i++) jellegű ciklus azt jelenti, hogy a ciklusmagban hivatkozott hardverelemeket n-szer "rakjuk le" egymás után, pl. egy n-bites buszhoz kapcsolódva Lásd: Verilog labor Egy HDL-en tervezett (generikus) áramkörről még nem dőlt el, hogy hogyan fog elkészülni. A mapping eredménye: egyedi gyártású IC netlistája (ebből lesz a layout szintézis) FPGA (FPGA-ba tölthető kód szintetizálása) Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

43 Példák profi CAD rendszerekre Mentor Graphics: ennek az elemeivel dolgoznak a laborban Mikroelektronika szakirányon: VLSI tervezési labor Cadence: Ezzel egy teljes IC tervezési folyamatát mutatjuk be a 6. laborban Szokásos platformok (Linux, Windows) Integrált áramkörök, IC tervezés 1 Poppe András, BME-EET

Integrált áramkörök/1. Informatika-elekronika előadás 10/20/2007

Integrált áramkörök/1. Informatika-elekronika előadás 10/20/2007 Integrált áramkörök/1 Informatika-elekronika előadás 10/20/2007 Mai témák Fejlődési tendenciák, roadmap-ek VLSI alapfogalmak A félvezető gyártás alapműveletei A MOS IC gyártás lépései 10/20/2007 2/48 Integrált

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 Bevezetés http://www.eet.bme.hu/~poppe/miel/hu/01-bevez.ppt http://www.eet.bme.hu Alapfogalmak IC-k egy felületszerelt panelon

Részletesebben

Integrált áramkörök/6 ASIC áramkörök tervezése

Integrált áramkörök/6 ASIC áramkörök tervezése Integrált áramkörök/6 ASIC áramkörök tervezése Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/25 Mai témák Integrált áramkörök tervezése Az ASIC tervezés gyakorlata ASIC tervezési technikák Az

Részletesebben

Mikroelektronikai tervezés

Mikroelektronikai tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Mikroelektronikai tervezés Tervezőrendszerek Egy kis történelem Hogyan is terveztek digitális IC-t pl. az 1970-es években?

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 Tervezés FPGA-ra, Verilog, SystemC http://www.eet.bme.hu/~poppe/miel/hu/18-ictervezes3.ppt http://www.eet.bme.hu Tervezés FPGA-ra

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 IC tervezés: tervezési szabályok, előre tervezés, ill. gyárás, a design flow, MPW gyártás http://www.eet.bme.hu/~poppe/miel/hu/17-ictervezes2.ppt

Részletesebben

Integrált áramkörök/5 ASIC áramkörök

Integrált áramkörök/5 ASIC áramkörök Integrált áramkörök/5 ASIC áramkörök Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/33 Mai témák Az integrált áramkörök felosztása Integrált áramkörök létrehozása Integrált áramkörök tervezése

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

1. A VHDL mint rendszertervező eszköz

1. A VHDL mint rendszertervező eszköz 1.1. A gépi tervezés A gépi leíró nyelvek (HDL) célja az egyes termékek egységesítése, logikai szimulációhoz leíró nyelv biztosítása, a terv hierarchikus felépítésének tükrözése és a nagy tervek áttekinthetővé

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

Digitális Technika I. (VEMIVI1112D)

Digitális Technika I. (VEMIVI1112D) Pannon Egyetem Villamosmérnöki és Információs Tanszék Digitális Technika I. (VEMIVI1112D) Bevezetés. Hol tart ma a digitális technológia? Előadó: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu Feltételek:

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 MOS áramkörök: CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu/~poppe/miel/hu/14-cmos.ppt http://www.eet.bme.hu Vizsgált

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben

Digitális Technika I. (VEMIVI1112D)

Digitális Technika I. (VEMIVI1112D) Pannon Egyetem Villamosmérnöki és Inf. Rendszerek Tanszék Digitális Technika I. (VEMIVI1112D) Bevezetés. Hol tart ma a digitális technológia? Előadó: Dr. Vassányi István vassanyi@almos.vein.hu Feltételek:

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Hardveres trójai vírusok ASIC és FPGA áramkörökben

Hardveres trójai vírusok ASIC és FPGA áramkörökben Hardveres trójai vírusok és áramkörökben 1 Fogalmak Bevezető Fogalmak, rövidítések Miért kell a HTH-val foglalkozni? Hardveres trójai vírus : a hardver rosszindulatú megváltoztatása, amely speciális feltételek

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Digitális Technika I. (VEMIVI1112D)

Digitális Technika I. (VEMIVI1112D) Pannon Egyetem Villamosmérnöki és Inf. Rendszerek Tanszék Digitális Technika I. (VEMIVI1112D) Bevezetés. Hol tart ma a digitális technológia? Előadó: Dr. Vassányi István vassanyi@almos.vein.hu Feltételek:

Részletesebben

ELŐADÁS 2016-01-05 SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA

ELŐADÁS 2016-01-05 SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA ELŐADÁS 2016-01-05 SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA A PC FIZIKAI KIÉPÍTÉSÉNEK ALAPELEMEI Chip (lapka) Mikroprocesszor (CPU) Integrált áramköri lapok: alaplap, bővítőkártyák SZÁMÍTÓGÉP FELÉPÍTÉSE

Részletesebben

III. Alapfogalmak és tervezési módszertan SystemC-ben

III. Alapfogalmak és tervezési módszertan SystemC-ben III. Alapfogalmak és tervezési módszertan SystemC-ben A SystemC egy lehetséges válasz és egyben egyfajta tökéletesített, tovább fejlesztett tervezési módszertan az elektronikai tervezés területén felmerülő

Részletesebben

Mikroelektronika Laboratórium

Mikroelektronika Laboratórium Budapesti Műszaki és Gazdaságtudományi Egyetem Mikroelektronika Laboratórium Tájékoztató http://www.eet.bme.hu/~poppe/miel/hu/00-labtaj.ppt http://www.eet.bme.hu Célok VLSI laborjainkban a legújabb és

Részletesebben

MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc

MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc BME Elektronikus Eszközök Tanszéke Smart Systems Integration EMMC+ Az EU által támogatott 2 éves mesterképzési

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Tantárgy: Szakmai gyakorlat Szakmai alapozó évfolyamok számára Összeállította: Farkas Viktor Bevezetés Az irányítástechnika felosztása Visszatekintés TTL CMOS integrált áramkörök

Részletesebben

Architektúra, cache. Mirıl lesz szó? Mi a probléma? Teljesítmény. Cache elve. Megoldás. Egy rövid idıintervallum alatt a memóriahivatkozások a teljes

Architektúra, cache. Mirıl lesz szó? Mi a probléma? Teljesítmény. Cache elve. Megoldás. Egy rövid idıintervallum alatt a memóriahivatkozások a teljes Architektúra, cache irıl lesz szó? Alapfogalmak Adat cache tervezési terének alapkomponensei Koschek Vilmos Fejlıdés vkoschek@vonalkodhu Teljesítmény Teljesítmény növelése Technológia Architektúra (mem)

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

Alapkapuk és alkalmazásaik

Alapkapuk és alkalmazásaik Alapkapuk és alkalmazásaik Bevezetés az analóg és digitális elektronikába Szabadon választható tárgy Összeállította: Farkas Viktor Irányítás, irányítástechnika Az irányítás esetünkben műszaki folyamatok

Részletesebben

Az IKT-platformok együttműködése és szerepvállalása. Pataricza András(BME MIT),

Az IKT-platformok együttműködése és szerepvállalása. Pataricza András(BME MIT), Az IKT-platformok együttműködése és szerepvállalása a gazdaság fejlesztésében Pataricza András(BME MIT), az ARTEMIS-HU Platform elnöke System architecture Emergency application Central database (Database

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

Mikroelektronikai tervezés tantermi gyakorlat

Mikroelektronikai tervezés tantermi gyakorlat Mikroelektronikai tervezés tantermi gyakorlat Gärtner Péter, Ress Sándor 2010 április 1 Az átcsúszó selejt Előadáson levezetve az átcsúszó selejtre: Y = yield, kihozatal C = fault coverage, hibalefedés

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

Intelligens és összetett szenzorok

Intelligens és összetett szenzorok Intelligens és összetett szenzorok Galbács Gábor Összetett és intelligens szenzorok Bevezetés A mikroelektronika fejlődésével, a mikroprocesszorok (CPU), mikrokontrollerek (µc, MCU), mikroprogramozható

Részletesebben

Elektronikai tervezés Dr. Burány, Nándor Dr. Zachár, András

Elektronikai tervezés Dr. Burány, Nándor Dr. Zachár, András Elektronikai tervezés Dr. Burány, Nándor Dr. Zachár, András Elektronikai tervezés írta Dr. Burány, Nándor és Dr. Zachár, András Publication date 2013 Szerzői

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Vivado fejlesztői környezet Fehér Béla Raikovich

Részletesebben

A PET-adatgy informatikai háttereh. Nagy Ferenc Elektronikai osztály, ATOMKI

A PET-adatgy informatikai háttereh. Nagy Ferenc Elektronikai osztály, ATOMKI A PET-adatgy adatgyűjtés informatikai háttereh Nagy Ferenc Elektronikai osztály, ATOMKI Eleveníts tsük k fel, hogy mi is az a PET! Pozitron Emissziós s Tomográfia Pozitron-boml bomló maggal nyomjelzünk

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

ARM Cortex magú mikrovezérlők. mbed

ARM Cortex magú mikrovezérlők. mbed ARM Cortex magú mikrovezérlők mbed Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 MBED webes fejlesztőkörnyezet 2009-ben megjelent

Részletesebben

Témakiírások 2014/15. őszi félévben

Témakiírások 2014/15. őszi félévben Témakiírások 2014/15. őszi félévben Témavezető: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu voroshazi.zsolt@virt.uni-pannon.hu Veszprém, 2014. szeptember 9. Témaismertetés #1 National Instruments - LabView

Részletesebben

Frekvenciaosztó áramkörök

Frekvenciaosztó áramkörök Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Frekvenciaosztó áramkörök Bognár György bognar@eet.bme.hu http://www.eet.bme.hu Tartalom és Bevezetés Case Study Frekvenciaosztó

Részletesebben

Rendszerszintű tervezés: SystemC I.

Rendszerszintű tervezés: SystemC I. Rendszerszintű tervezés: SystemC I. Czirkos Zoltán BME EET 2016. február 24. Miről lesz szó? Magas szintű tervezés programozási nyelvek segítségével HDL leírás (Verilog / VHDL) is emlékeztet egy programra

Részletesebben

Bepillantás a gépházba

Bepillantás a gépházba Bepillantás a gépházba Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív memória: A számítógép bekapcsolt

Részletesebben

A jövő anyaga: a szilícium. Az atomoktól a csillagokig 2011. február 24.

A jövő anyaga: a szilícium. Az atomoktól a csillagokig 2011. február 24. Az atomoktól a csillagokig 2011. február 24. Pavelka Tibor, Tallián Miklós 2/24/2011 Szilícium: mindennapjaink alapvető anyaga A szilícium-alapú technológiák mindenütt jelen vannak Mikroelektronika Számítástechnika,

Részletesebben

A PC története. Informatika alapjai-9 Személyi számítógép (PC) 1/12. (Personal computer - From Wikipedia, the free encyclopedia)

A PC története. Informatika alapjai-9 Személyi számítógép (PC) 1/12. (Personal computer - From Wikipedia, the free encyclopedia) Informatika alapjai-9 Személyi számítógép (PC) 1/12 (Personal computer - From Wikipedia, the free encyclopedia) A személyi számítógépet ára, mérete és képességei és a használatában kialakult kultúra teszik

Részletesebben

MIKROELEKTRONIKA 7. MOS struktúrák: -MOS dióda, Si MOS -CCD (+CMOS matrix) -MOS FET, SOI elemek -MOS memóriák

MIKROELEKTRONIKA 7. MOS struktúrák: -MOS dióda, Si MOS -CCD (+CMOS matrix) -MOS FET, SOI elemek -MOS memóriák MIKROELEKTRONIKA 7. MOS struktúrák: -MOS dióda, Si MOS -CCD (+CMOS matrix) -MOS FET, SOI elemek -MOS memóriák Fém-félvezetó p-n A B Heteroátmenet MOS Metal-oxide-semiconductor (MOS): a mikroelektronika

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

34-35. Kapuáramkörök működése, felépítése, gyártása

34-35. Kapuáramkörök működése, felépítése, gyártása 34-35. Kapuáramkörök működése, felépítése, gyártása I. Logikai áramkörcsaládok Diszkrét alkatrészekből épülnek fel: tranzisztorok, diódák, ellenállások Két típusa van: 1. TTL kivitelű kapuáramkörök (Tranzisztor-Tranzisztor

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

Attribútumok, constraint-ek

Attribútumok, constraint-ek BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Attribútumok, constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Buapesti Műszaki és Gazaságtuományi Egyetem MKROEEKTRONKA, VEEA6 Térvezérelt tranzisztorok. A JFET-ek http://www.eet.bme.hu/~poppe/miel/hu/11-jfet.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint

Részletesebben

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3 Előadó: Dr. Oniga István DIGITÁLIS TEHNIK 3 Logikai függvények logikai függvény olyan egyenlőség, amely változói kétértékűek, és ezek között csak logikai műveleteket végzünk függvények megadása történhet

Részletesebben

Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc)

Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc) Pannon Egyetem Villamosmérnöki és Információs Tanszék Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc) Bevezetés. Hol tart ma a digitális technológia? Előadó: Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu

Részletesebben

Tranziens EMC vizsgálat és hardvertervezés FPGA-val

Tranziens EMC vizsgálat és hardvertervezés FPGA-val Tranziens EMC vizsgálat és hardvertervezés FPGA-val Bagoly Zsolt (PhD 3. félév) Dr. Végh János Debreceni Egyetem Informatika Kar 2013. április 5. Megjegyzések a két témához A cím két különböző PhD kutatási

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

Parametrikus tervezés

Parametrikus tervezés 2012.03.31. Statikus modell Dinamikus modell Parametrikus tervezés Módosítások a tervezés folyamán Konstrukciós variánsok (termékcsaládok) Parametrikus Modell Parametrikus tervezés Paraméterek (változók

Részletesebben

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor Ismerkedjünk tovább a számítógéppel Alaplap és a processzeor Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Logi-termikus szimuláció sztenderd tervező rendszerekben

Logi-termikus szimuláció sztenderd tervező rendszerekben Logi-termikus szimuláció sztenderd tervező rendszerekben Timár András Témavezető: Dr. Rencz Márta, egyetemi tanár BME, Elektronikus Eszközök Tanszéke Doktori értekezés nyilvános vitája Budapest, 2013.

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Elektronika, 5. gyakorlat: algoritmikus C szintézis

Elektronika, 5. gyakorlat: algoritmikus C szintézis Elektronika, 5. gyakorlat: algoritmikus C szintézis Bevezetés A digitális elektronikai rendszerek bonyolultsága és a gyors technológiai fejlődés folyamatos kihívás elé állítja a tervezőket, mert a tervezési

Részletesebben

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Számítógép Architektúrák

Számítógép Architektúrák Számítógép Architektúrák Utasításkészlet architektúrák 2015. április 11. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tsz. ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

elektronikus adattárolást memóriacím

elektronikus adattárolást memóriacím MEMÓRIA Feladata A memória elektronikus adattárolást valósít meg. A számítógép csak olyan műveletek elvégzésére és csak olyan adatok feldolgozására képes, melyek a memóriájában vannak. Az információ tárolása

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor

Részletesebben

11.2. A FESZÜLTSÉGLOGIKA

11.2. A FESZÜLTSÉGLOGIKA 11.2. A FESZÜLTSÉGLOGIKA Ma a feszültséglogika számít az uralkodó megoldásnak. Itt a logikai változó két lehetséges állapotát két feszültségérték képviseli. Elvileg a két érték minél távolabb kell, hogy

Részletesebben

TERVEZŐRENDSZER ÉS OKTATÓPROGRAM FELHASZNÁLÓI KÉZIKÖNYV

TERVEZŐRENDSZER ÉS OKTATÓPROGRAM FELHASZNÁLÓI KÉZIKÖNYV Design Suite ELEKTRONIKAI TERVEZŐRENDSZER ÉS OKTATÓPROGRAM FELHASZNÁLÓI KÉZIKÖNYV DesignSoft www.designsoft.hu i-1 SZERZŐI JOGOK Copyright 1990-2008 DesignSoft Kft. Minden jog fenntartva. A eredeti telepítőlemezén

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék

Integrált áramkörök/2. Rencz Márta Elektronikus Eszközök Tanszék Integrált áramkörök/2 Rencz Márta Elektronikus Eszközök Tanszék Mai témák MOS áramkörök alkatrészkészlete Bipoláris áramkörök alkatrészkészlete 11/2/2007 2/27 MOS áramkörök alkatrészkészlete Tranzisztorok

Részletesebben

A szoftverfejlesztés eszközei

A szoftverfejlesztés eszközei A szoftverfejlesztés eszközei Fejleszt! eszközök Segédeszközök (szoftverek) programok és fejlesztési dokumentáció írásához elemzéséhez teszteléséhez karbantartásához 2 Történet (hw) Lyukkártya válogató

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

2011. Május 4. Önök Dr. Keresztes Péter Mikrochip-rendszerek ütemei, metronóm nélkül A digitális hálózatok új generációja. előadását hallhatják!

2011. Május 4. Önök Dr. Keresztes Péter Mikrochip-rendszerek ütemei, metronóm nélkül A digitális hálózatok új generációja. előadását hallhatják! 2011. Május 4. Önök Dr. Keresztes Péter Mikrochip-rendszerek ütemei, metronóm nélkül A digitális hálózatok új generációja. előadását hallhatják! MIKROCSIP RENDSZEREK ÜTEMEI, METRONÓM NÉLKÜL Mikrocsipek

Részletesebben

Programozás alapjai Bevezetés

Programozás alapjai Bevezetés Programozás alapjai Bevezetés Miskolci Egyetem Általános Informatikai Tanszék Programozás alapjai Bevezetés SWF1 / 1 Tartalom A gépi kódú programozás és hátrányai A magas szintÿ programozási nyelv fogalma

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Magas szintű optimalizálás

Magas szintű optimalizálás Magas szintű optimalizálás Soros kód párhuzamosítása Mennyi a várható teljesítmény növekedés? Erős skálázódás (Amdahl törvény) Mennyire lineáris a skálázódás a párhuzamosítás növelésével? S 1 P 1 P N GPGPU

Részletesebben

I+K technológiák. Beágyazott rendszerek Dr. Aradi Szilárd

I+K technológiák. Beágyazott rendszerek Dr. Aradi Szilárd I+K technológiák Beágyazott rendszerek Dr. Aradi Szilárd Bevezetés Az ipar és a közlekedés különböző területein nagy számban fordulnak elő mikrokontrolleres vezérlőegységek (beágyazott rendszerek) Közúti

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 4. Logikai kapuáramkörök Felhasznált irodalom Dr. Gárdus Zoltán: Digitális rendszerek szimulációja Mádai László: Logikai alapáramkörök BME FKE: Logikai áramkörök Colin Mitchell:

Részletesebben

Az Invitel adatközponti virtualizációja IBM alapokon

Az Invitel adatközponti virtualizációja IBM alapokon Az Invitel adatközponti virtualizációja IBM alapokon Németh Sándor Invitel Távközlési Zrt. 2040 Budaörs, Puskás T. u. 8-10. nemeths@invitel.co.hu Tel. : +36 1 801 15 00 Tartalom 2 A tipikus IT infrastruktúra

Részletesebben

Analóg áramkörök Műveleti erősítővel épített alapkapcsolások

Analóg áramkörök Műveleti erősítővel épített alapkapcsolások nalóg áramkörök Műveleti erősítővel épített alapkapcsolások Informatika/Elektronika előadás encz Márta/ess Sándor Elektronikus Eszközök Tanszék 07-nov.-22 Témák Műveleti erősítőkkel kapcsolatos alapfogalmak

Részletesebben

Vezetéknélküli Érzékelő Hálózatok

Vezetéknélküli Érzékelő Hálózatok Dr. Kasza Tamás Vezetéknélküli Érzékelő Hálózatok Budapest, 2011. február 16. www.meetthescientist.hu 1 28 Tanulmányok - M.Sc.: - 1994-1999: BME-VIK - 1995-2000: BME-GTK - 1999-2003: BKE - 1997-1998: ELTE-TTK

Részletesebben

Az Integrált Mikro/Nanorendszerek Nemzeti Technológiai Platform létrehozása és működése

Az Integrált Mikro/Nanorendszerek Nemzeti Technológiai Platform létrehozása és működése Az Integrált Mikro/Nanorendszerek Nemzeti Technológiai Platform létrehozása és működése SZAKOLCZAI Krisztina Irodavezető, IMNTP Iroda, MTA MFA Az IMNTP megalakulása NKTH Nemzeti Technológiai Platform pályázatok

Részletesebben

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Digitális rendszerek I. rész Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu A tárgy célja Bevezető Digitális rendszertervezés alapjai Programozható logikai áramkörök Hardverleíró nyelvek (VHDL) A digitális

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

Szoftver-technológia I.

Szoftver-technológia I. Szoftver technológia I. Oktatók Sziray József B602 Heckenast Tamás B603 2 Tananyag Elektronikus segédletek www.sze.hu/~sziray/ www.sze.hu/~heckenas/okt/ (www.sze.hu/~orbang/) Nyomtatott könyv Ian Sommerville:

Részletesebben