Digitális technika VIMIAA01

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Digitális technika VIMIAA01"

Átírás

1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek A processzorok utasításkészlete az alábbi utasítás csoportokra osztható: Adatmozgató (MOV, máshol LOAD/STORE) Aritmetikai (ADD, SUB, CMP,MUL, DIV) Logikai (AND, OR, XOR, CPL, TST) Léptető (SLx,/SRx, RR/RL, ASR és multibit) Vezérlő (JMP, CJP, JAL/CALL, RTN) Egyéb (NOP, EI, DI, HALT) Jellemző RISC utasításkészlet: utasítás Érdekesség: OISC: One Instruction Set Computer Összetett utasítás művelet, test és adatmozgatás

2 Processzor utasítás rendszerek A RISC processzorok utasítás formátuma Általában fix hosszúságú, 16/32 bit Felépítése 2R vagy 3R típusú (utóbbi a 32 bitnél) Kevés utasítás formátum típus (2-5 maximum) Egy formátumon belül minden utasítás azonosan használható A bitmezők kiosztása rögzített Egyszerű dekódolás, sok érték közvetlenül használható Pipe-line végrehajtás könnyen kialakítható Az utasítások végrehajtási ideje azonos (1 órajel) Processzor utasítás rendszerek A RISC processzorok címzési módjai A címzési mód az utasításban hivatkozott adatelem, adatérték elérési módját jelenti A címzési módok jelentősen befolyásolják az utasítás ill. programvégrehajtás hatékonyságát Különböző címzési módok léteznek a program memória és az adatmemória elérésére Eltérő igények: Programmemória esetén a cél a következő utasítás címének megadása, ez ugrásoknál, feltételes ugrásoknál, szubrutinhívásoknál (függvény/eljárás) lényeges Adatmemóriánál sokkal általánosabb igényeket találunk

3 Processzor utasítás rendszerek Programmemória címzési módjai A programmemóriát a PC (programszámláló) címzi Az alapművelet a következő utasítás PC = PC + 1 Az ugrási vagy szubrutinhívás esetén a PC értékét módosítani kell Abszolút címzés: A PC minden bitje módosul: A teljes programmemória bármely címe szerepelhet, mint új cím. Kedvező, egyszerűen használható, de sok bitet igényel Relatív címzés: A PC bitjeinek csak egy része módosul, az ugrás, szubrutinhívás elérési tartománya korlátozott. A programszervezés bonyolultabb (assembler/fordító feladata), de a programok lokalitása miatt ez ritkán okoz komoly problémát. A relatív címzési tartomány Processzor utasítás rendszerek Programmemória címzési módjai Közvetlen cím: Az új cím értéke az utasításban kódolt, ez gyakran relatív címzésre korlátoz A célcím FORDÍTÁS időben meghatározott, futáskor konstans, nem lehet adatfüggő MiniRISC: 16 bit utasítás, 8 bit program cím: lehet abszolút is Regiszter indirekt: Az ugrási címet egy regiszter tartalmazza (ez általában abszolút címzésre is elegendő) A célcím lehet adatfüggő, több utas elágazások, táblázat értékek indexelt olvasásához a programmeóriából MiniRISC: van regiszter indirekt címzés, teljes tartományra A szegmensregiszteres címzés egy kevert címzési mód

4 Processzor utasítás rendszerek Adatmemória címzési módjai, illetve az utasítások operandusainak elérési, specifikációs módjai: Közvetlen adat (adat az utasításban) Közvetlen cím (memória cím az utasításban) Regiszter adat Regiszter (indirekt) címzésű adat Regiszter + közvetlen ofszet című adat Regiszter címzésű indexelt adat Regiszter címzésű post-inkremens/pre-dekremens adat Egy-egy utasításkészlet nem mindegyiket tartalmazza Léteznek egyéb speciális (bitfordított, modulo, stb.) címzések is, ezeket nem tárgyaljuk Processzor utasítás rendszerek Adatmemória címzési módjai, illetve az utasítások operandusainak elérési, specifikációs módjai: Közvetlen adat: Az adat az utasítás része MiniRISC 16 bit utasítás. 8 bit adat megoldható Általában pl. 32 bites processzor esetén nem egyszerű: 32 bites utasításban 32 bites adat nem helyezhető el (ut.kód?) Gyakran használunk kis értékű konstansokat, ezért a közvetlen adatra egy bitmező áll rendelkezésre + előjel kiterjesztés Vagy: teljes méretű adat: két utasításban: alsó-felső fele, és ekkor a két utasítás végrehajtása nem megszakítható!

5 Processzor utasítás rendszerek Adatmemória címzési módjai, illetve az utasítások operandusainak elérési, specifikációs módjai: Közvetlen cím: A cím az utasítás része MiniRISC 16 bit utasítás. 8 bit adat megoldható, mert az adatinterfész csak 256 címet tartalmaz Általában ugyanazok a korlátozások érvényesek, mint a közvetlen adatnál, bár beágyazott rendszerekben a memóriaméret korlátos (nem kell 32 címbit) Processzor utasítás rendszerek Adatmemória címzési módjai, illetve az utasítások operandusainak elérési, specifikációs módjai: Regiszter adat: A regiszter címe az utasítás része MiniRISC 16 bit utasítás: 2 regiszter cím 2 adat Ez a leggyakoribb címzési mód RISC processzorokban univerzális regiszterhasználat, nincsenek specialitások, kivételek Regiszter (indirekt) címzésű adat: A regiszter tartalma az adat címe a memóriában A MiniRISC utasításkészlet tartalmazza Hatékony címzés adatvektorok/tömbök eléréséhez

6 Processzor utasítás rendszerek Adatmemória címzési módjai, illetve az utasítások operandusainak elérési, specifikációs módjai: Regiszter + közvetlen ofszet adat: Az adat címe egy regisztertartalom (bázis) és az utasításból származó közvetlen érték (ofszet) összege Regiszter címzésű indexelt adat: Az adat címe két regiszter tartalmából adódik: Az egyik a bázis cím a másik az index érték Regiszter címzésű post-inkremens/pre-dekremens címzés: Az adat elérése után/előtt a címregiszter tartalma automatikusan módosul. Hasznos verem (stack) és adatvektor/tömb címzésénél MiniRISC utasításkészlete A MiniRISC processzor diasorozat diája

7 Processzor belső kommunikációja A mikroprocesszoros rendszerek összetett digitális rendszerek, több modulból állnak Eddig csak a központi egység felépítését, az un. CPU struktúráját és működését vizsgáltuk: Ez két fő részegységből áll: vezérlő és ALU Ezek mindegyike bonyolult részrendszer, de önmagában szinte működésképtelen A vezérlő a működéséhez szükséges utasításokat a programmemória interfészen keresztül éri el Az ALU értelmes működésének feltétele az adatmemória és a perifériák elérése. Ezt az adatmemória interfész biztosítja MiniRISC mintarendszer Bevezetés (Egyszerűsített Blokkvázlat) LED ek DIP kapcsoló A bővítőcsatlakozó B bővítőcsatlakozó 128 x 8 bites adatmemória (0x00 0x7F) basic_owr (0x80) basic_in (0x81) basic_io (0x84 0x87) basic_io (0x88 0x8B) MiniRISC CPU Adatmem. Adatmemória interfész Debug Prg. mem. Debug modul 256 x 16 bites programmemória slave_usrt (0x8E 0x8F) USRT basic_display (0x90 0x9F) basic_timer (0x8C 0x8D) basic_in (0x82) JTAG nyomógombok 14 fejlesztői és kijelzők kommunikációs port MiniRISC processzor, (v1.2)

8 Processzor belső kommunikációja A mikroprocesszoros rendszerek adatátviteli interfészeit buszoknak nevezzük Sok mikroprocesszor rendelkezik egyedi külső busszal is (lokális busz), alkalmazási céltól függően A külső buszok némelyike processzor független, ún. rendszer busz, általános célú alkalmazásokra. Ilyen külső rendszerbusz pl. IBM PC ISA (Industry Standard Architecture) PCI busz (Peripheral Component Interface) VMEbus (Versa Module Europa bus) VXI (VMEbus extension for Instrumentation) Processzor belső kommunikációja A mikroprocesszoros rendszerek belső adatátviteli buszai hasonlóak a külső buszokhoz Egy lényeges különbség: Szétválasztott DATA_IN és DATA_OUT adatvonalak vannak. A belső buszok között is van processzor független, általános célú rendszer busz, tetszőleges alkalmazásokra. Ez nagyban megkönnyíti a modern SoC (System on Chip) rendszerek tervezését. Ilyen belső rendszerbusz pl. IBM Core Connect ARM AMBA (Advanced Microcontr. Bus Arch.) ARM AXI (Advanced extensible Interface) Whisbone

9 Processzor belső kommunikációja A felsoroltak mind PÁRHUZAMOS buszok A mai nagysebességű adatátviteli interfészek gyakran áramköri okokból SOROS adatátviteli protokollt használnak. Ezek pont-pont kapcsolatot valósítanak meg, de megszokásból ezeket is buszoknak nevezik. Ilyen pont-pont kapcsolatok pl.: USB (Universal Serial Bus) FireWire (IEEE 1394) PCIe (PCI Express) InfiniBand HyperTransport QuickPath Interconnect Processzor belső kommunikációja A továbbiakban a PÁRHUZAMOS buszokkal foglalkozunk A busz jelvezetékek együttese, amelyekre áramköri, elektromos és időzítési előírások vonatkoznak Gyakran mechanikai is pl. ISA/PCI/VME csatlakozó Az áramköri előírások specifikálják a jelszintet, a meghajtó képességet, a megengedett terhelést, a maximális túllövést, stb. Az időzítési előírások definiálják a működési sebességet, a jelváltási időt, az adatátviteli buszciklusok időbeli lefolyását A buszok komplex rendszerek, mi csak egy egyszerű verzióval foglalkozunk

10 A mikroprocesszoros busz A busz részei: Címbusz ADDR[n:0] Adatbusz DATA[m:0], belső busznál külön D_IN[m:0], D_OUT[m:0] Vezérlő busz (sok egyedi jel összessége): Rendszerjelek: CLK, RST, Arbitrációs jelek: BUSREQ, BUSACK, Irányvezérlő jelek: READ, WRITE, Átvitelvezérlő jelek: FRAME, TS, TACK, AS, DS, Megszakítás vezérlő jelek: IRQi, IACK, A mikroprocesszoros busz A busz használatának szabályai: A buszra kapcsolódó egységek között megkülönböztetünk MASTER és SLAVE egységeket MASTER: Vezérelheti a buszt, meghatározza a cím/adat és egyéb paramétereket, kezdeményezi az átvitelt és vezérli a működést SLAVE: Figyeli a buszt, felismeri/dekódolja a címet és a parancsokat, azonosítás esetén válaszol a kérésre az adat fogadásával vagy kiadásával

11 A mikroprocesszoros busz Buszhasználat külső buszon: Közös vonalak, 3 állapotú, HiZ, nagy impedanciás meghajtókkal Egy időben csak egyetlen adatforrás lehet aktív A vezérlő/engedélyező jelek 1-az-N-ből kódolásúak A mikroprocesszoros busz Buszhasználat belső buszon: Az adatvonalak meghajtása AND-OR hálózaton (elosztott multiplexer) keresztül A megoldás kizárja a több forrás kimeneteinek áramköri összekapcsolását

12 A mikroprocesszoros busz Buszhasználat belső buszhálózaton: Szétválasztott DATA_IN (SLAVE MASTER) és DATA_OUT (MASTER SLAVE) A MUX alapú meghajtás kizárja a több forrás kimenetének áramköri összekapcsolását A buszhasználat szabályai Egy buszon lehet több MASTER és SLAVE is Több SLAVE nem gond Több MASTER : Arbitráció a buszhasználat jogáért Az arbitrációban (döntési folyamatban) csak a MASTER funkciójú egységek vesznek részt Az arbitráció a buszhasználat jogáért történik Az arbitráció dönti el, hogy versenyhelyzetben ki jogosult a busz használatára. Többfajta algoritmus létezik az arbitrációra: Fix prioritás, körbenforgó, utolsó egység nagyobb prioritású, stb.

13 A buszhasználat szabályai A buszhasználati feltételek eldöntése lehet: Központi: Az arbiter értékeli ki a kéréseket és jelöli ki a következő MASTER egységet, aki indíthat egy adatátvitelt A dekóder értelmezi a címet/vezérlést és jelöli ki a SLAVE egységet, aki válaszol a kérésre A buszhasználat szabályai A buszhasználati feltételek eldöntése lehet: Elosztott: Minden MASTER tartalmaz logikát az arbitrációhoz és így dől el, ki lehet a következő MASTER egység, aki indíthat egy adatátvitelt Minden SLAVE egység tartalmaz dekóder áramkört, ami értelmezi a címet/vezérlést és engedélyezi az egységet, hogy a kérésre válaszoljon Helyes tervezés esetén csak egy MASTER és egy SLAVE egység lehet egy időben aktív

14 A busz adatátviteli ütemezése Az adatátvitel ütemezése: aszinkron Nincs ütemező órajel Az adatátvitelt a kézfogásos (hand-shake) szinkronizáció vezérli (REQ ACK jelek) 4 állapot REQ_ACK Ha az előző átvitelnek vége (ACK =0), akkor Átviteli paraméterek beállítása REQ 1, start Vár ACK-ra, minden jel stabilan tartva Ha ACK megjön, REQ=0 Vár ACK elengedésére A busz adatátviteli ütemezése Az adatátvitel ütemezése szinkron módon Az adatátvitelt a busz órajel ciklusai ütemezik Sokfajta busz ütemezési protokoll létezik, gyakori a címzési és az adat fázis szétválasztása Első ütem: a cím és a vezérlési paraméterek beállítása Második ütem: adatátvitel Jellemzően egy átvitel több órajel ciklus ideig tart (START ÁTVITEL LEZÁRÁS) A MiniRISC busz egyetlen órajel alatt hajtja végre az átvitelt

15 MiniRISC mintarendszer Bevezetés (Egyszerűsített Blokkvázlat) LED ek DIP kapcsoló A bővítőcsatlakozó B bővítőcsatlakozó 128 x 8 bites adatmemória (0x00 0x7F) basic_owr (0x80) basic_in (0x81) basic_io (0x84 0x87) basic_io (0x88 0x8B) MiniRISC CPU Adatmem. Adatmemória interfész Debug Prg. mem. Debug modul 256 x 16 bites programmemória slave_usrt (0x8E 0x8F) USRT basic_display (0x90 0x9F) basic_timer (0x8C 0x8D) basic_in (0x82) JTAG nyomógombok 29 fejlesztői és kijelzők kommunikációs port MiniRISC processzor, (v1.2) MiniRISC interfészei A MiniRISC processzor diasorozat diája

16 Az egyszerűsített MiniRISC rendszer MiniRISC CPU Adat memória IF. Adatmemória interfész Debug Debug modul Prg. mem. 256 x 16 bit program mem. slave_usrt (0x88 0x8B) USR T basic_in (0x81) basic_owr (0x80) 128 x 8 bit adat memória (0x00 0x7F) basic_in_irq (0x84 0x87) JTAG Fejlesztő és komm. interfész DIP kapcsoló LED Nyomógombok Címtartomány Méret Periféria Funkció 0x00 0x7F 128 byte adatmemória 128 x 8 bit memória 0x80 1 byte basic_owr LED periféria 0x81 1 byte basic_in DIP kapcsoló periféria 0x84 0x87 4 byte basic_in_irq Nyomógomb periféria 0x88 0x8B 4 byte slave_usrt Soros USRT kommunikáció 0x90 0xFF 112 byte További perifériák 31 MiniRISC processzor Perifériaillesztés A perifériaillesztési feladat lépései A periféria típusa alapján az igények felmérése Regiszterek száma és használati módja (írható, olvasható) Parancs, státusz, üzemmód, stb. regiszterek Esetleg FIFO vagy kisebb memória blokk A báziscím kijelölése, a címtartomány használatának megtervezése A címdekódolás kialakítása psel = ((cpu2dmem_addr >> N) == (BASEADDR >> N)) A címtartomány mérete 2 N byte Írás engedélyező jelek xxx_wr = psel & cpu2dmem_wr & (cpu2dmem_addr[n 1:0] == ADDR) Olvasás engedélyező jelek xxx_rd = psel & cpu2dmem_rd & (cpu2dmem_addr[n 1:0] == ADDR) Szerepe a kimeneti MUX vezérlése: egy időben csak egy MUX kimenetén van érvényes adat, a többi periféria kimenetének értéke inaktív nulla Használni kell még, ha az olvasás állapotváltozást is okoz (pl. FIFO) 32 Az alsó címbitek vizsgálata akkor kell, ha N > 0

17 MiniRISC processzor Perifériaillesztés (1. példa Specifikáció) Feladat: 8 darab LED illesztése a processzoros rendszerhez, az állapot legyen visszaolvasható Egyszerű, egy 8 bites írható és olvasható regiszter szükséges Adatregiszter: BASEADDR + 0x00, 8 bites, írható/olvasható Az OUT i bit hajtja meg az i edik LED et 7. bit 6. bit 5. bit 4. bit 3. bit 2. bit 1. bit 0. bit OUT7 OUT6 OUT5 OUT4 OUT3 OUT2 OUT1 OUT0 R/W R/W R/W R/W R/W R/W R/W R/W cpu2dmem_addr BASEADDR cpu2dmem_data cpu2dmem_wr 8 bites regiszter D[7:0] Q[7:0] LD dout 33 A[7:0] B[7:0] psel 8 bites komparátor A=B out 0 1 cpu2dmem_rd MiniRISC processzor, (v1.3) 0 dmem2cpu_data MiniRISC processzor Perifériaillesztés (1. példa Megvalósítás Verilog nyelven) Feladat: 8 darab LED illesztése a processzoros rendszerhez module basic_owr #( //A periféria báziscíme. parameter BASEADDR = 8'hff ) ( //Órajel és reset. input wire clk, input wire rst, ); //Adatmemória interfész. input wire [7:0] cpu2dmem_addr, input wire cpu2dmem_wr, input wire cpu2dmem_rd, input wire [7:0] cpu2dmem_data, output reg [7:0] dmem2cpu_data, //Kimenő adat. output reg [7:0] dout //A periféria kiválasztó jele. wire psel = (cpu2dmem_addr == BASEADDR); //Az adatreg. írás engedélyező jele. wire dreg_wr = psel & cpu2dmem_wr; //Az adatreg. olvasás engedélyező jele. wire dreg_rd = psel & cpu2dmem_rd; //Adatregiszter. clk) if (rst) dout <= 8 d0; else if (dreg_wr) dout <= cpu2dmem_data; //Az olvasási adatbusz meghajtása. if (dreg_rd) dmem2cpu_data <= dout; else dmem2cpu_data <= 8 d0; endmodule 34 MiniRISC processzor, (v1.3)

18 MiniRISC processzor Perifériaillesztés (2. példa Specifikáció) Feladat: 8 darab kapcsoló illesztése a processzoros rendszerhez Egyszerű, egy 8 bites csak olvasható regiszter szükséges, amely folyamatosan mintavételezi a 8 kapcsoló állapotát Adatregiszter: BASEADDR + 0x00, 8 bites, csak olvasható Az IN i bit az i edik kapcsolón beállított értéket veszi fel 7. bit 6. bit 5. bit 4. bit 3. bit 2. bit 1. bit 0. bit IN7 IN6 IN5 IN4 IN3 IN2 IN1 IN0 R R R R R R R R din Regiszter D[7:0] Q[7:0] 1 dmem2cpu_data 0 0 cpu2dmem_rd EN 8 bites komparátor A=B out A[7:0] B[7:0] 35 cpu2dmem_addr BASEADDR MiniRISC processzor, (v1.3) MiniRISC processzor Perifériaillesztés (2. példa Megvalósítás Verilog nyelven) Feladat: 8 darab kapcsoló illesztése a processzoros rendszerhez module basic_in #( //A periféria báziscíme. parameter BASEADDR = 8'hff ) ( //Órajel és reset. input wire clk, input wire rst, ); //Adatmemória interfész. input wire [7:0] cpu2dmem_addr, input wire cpu2dmem_rd, output reg [7:0] dmem2cpu_data, //Bejövő adat. input wire [7:0] din //A periféria kiválasztó jele. wire psel = (cpu2dmem_addr == BASEADDR); //Az adatreg. olvasás engedélyező jele. wire in_reg_rd = psel & cpu2dmem_rd; //Adatregiszter. reg [7:0] in_reg; clk) if (rst) in_reg <= 8 d0; else in_reg <= din; //Az olvasási adatbusz meghajtása. if (in_reg_rd) dmem2cpu_data <= in_reg; else dmem2cpu_data <= 8 d0; endmodule 36 MiniRISC processzor, (v1.3)

19 Digitális technika 10. EA vége

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT A processzorok

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT Processzor utasítás

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika VIMIAA02 9. hét

Digitális technika VIMIAA02 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 3. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

Rendszerarchitektúrák labor Xilinx EDK

Rendszerarchitektúrák labor Xilinx EDK BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT Labor tematika

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

A MiniRISC processzor (rövidített verzió)

A MiniRISC processzor (rövidített verzió) BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor (rövidített verzió) Fehér Béla, Raikovich Tamás,

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése MicroBlaze processzor Fehér Béla Raikovich Tamás

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán

A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán Fehér Béla BME MIT atórium

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

Digitális rendszerek. Utasításarchitektúra szintje

Digitális rendszerek. Utasításarchitektúra szintje Digitális rendszerek Utasításarchitektúra szintje Utasításarchitektúra Jellemzők Mikroarchitektúra és az operációs rendszer közötti réteg Eredetileg ez jelent meg először Sokszor az assembly nyelvvel keverik

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Egyszerű számítógép működése

Egyszerű számítógép működése gyszerű számítógép működése gy Neumann és egy Harvard arcitektúrájú számítógép egyszerűsített blokkvázlatát mutatják az alábbi ábrák. Neumann architektúra cím busz környezet CPU ROM RAM perifériák órajel

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A kommunikációs technológiák

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A kommunikációs technológiák

Részletesebben

Karakteres LCD kijelző használata MiniRISC processzoron

Karakteres LCD kijelző használata MiniRISC processzoron Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Saját IP készítése, periféria illesztés Fehér

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Összetett feladatok megoldása

Összetett feladatok megoldása Összetett feladatok megoldása F1. A laboratóriumi feladat a legnagyobb közös osztó kiszámító algoritmusának realizálása digitális hardver eszközökkel. Az Euklideszi algoritmus alapja a maradékos osztás,

Részletesebben

Processzor (CPU - Central Processing Unit)

Processzor (CPU - Central Processing Unit) Készíts saját kódolású WEBOLDALT az alábbi ismeretanyag felhasználásával! A lap alján lábjegyzetben hivatkozz a fenti oldalra! Processzor (CPU - Central Processing Unit) A központi feldolgozó egység a

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése AXI interfész Fehér Béla Raikovich Tamás Advanced

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

Tartalomjegyzék. Előszó... xi. 1. Bevezetés... 1. 2. Mechanikai, elektromos és logikai jellemzők... 13

Tartalomjegyzék. Előszó... xi. 1. Bevezetés... 1. 2. Mechanikai, elektromos és logikai jellemzők... 13 Előszó... xi 1. Bevezetés... 1 1.1. Fogalmak, definíciók... 1 1.1.1. Mintapéldák... 2 1.1.1.1. Mechanikus kapcsoló illesztése... 2 1.1.1.2. Nyomtató illesztése... 3 1.1.1.3. Katódsugárcsöves kijelző (CRT)

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 INFORMATIKAI RENDSZEREK ALAPJAI (INFORMATIKA I.) 1 NEUMANN ARCHITEKTÚRÁJÚ GÉPEK MŰKÖDÉSE SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 Ebben a feladatban a következőket fogjuk áttekinteni: Neumann rendszerű számítógép

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás ATmega128 CPU Single-level pipelining Egyciklusú ALU működés Reg. reg., reg. konst. közötti műveletek 32 x 8 bit általános célú regiszter Egyciklusú

Részletesebben

A számítógép fő részei

A számítógép fő részei Hardver ismeretek 1 A számítógép fő részei 1. A számítógéppel végzett munka folyamata: bevitel ==> tárolás ==> feldolgozás ==> kivitel 2. A számítógépet 3 fő részre bonthatjuk: központi egységre; perifériákra;

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 14. hét Fehér Béla BME MIT Digitális technika

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 8

Digitális technika (VIMIAA01) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK VIMIAA02 14. hét Fehér Béla BME MIT Rövid visszatekintés, összefoglaló

Részletesebben

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017)

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztés mikroprocesszoros buszra (áramkörön belüli szinkron busz esetén) KÓDMEMÓIA

Részletesebben

Adatfeldolgozó rendszer tervezése funkcionális elemekkel

Adatfeldolgozó rendszer tervezése funkcionális elemekkel Adatfeldolgozó rendszer tervezése funkcionális elemekkel F1. Tervezzünk egy adatbányász egységet, amely egy 256x8 bites ROM adattároló memóriában megkeresi a megadott keresési feltételnek megfelelő legelső

Részletesebben

Bevitel-Kivitel. Bevitel-Kivitel és Perifériák. Algoritmusok és Alkalmazásaik Tanszék Budapest. 2005. december 16.

Bevitel-Kivitel. Bevitel-Kivitel és Perifériák. Algoritmusok és Alkalmazásaik Tanszék Budapest. 2005. december 16. Architektúrák és operációs rendszerek Balogh Ádám, Lőrentey Károly Eötvös Loránd Tudományegyetem Algoritmusok és Alkalmazásaik Tanszék Budapest 2005. december 16. Tartalomjegyzék Perifériák 1 Perifériák

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

találhatók. A memória-szervezési modell mondja meg azt, hogy miként

találhatók. A memória-szervezési modell mondja meg azt, hogy miként Memória címzési módok Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről) a program utasításai illetve egy utasítás argumentumai a memóriában találhatók. A memória-szervezési

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 8

Digitális technika (VIMIAA02) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... NÉV:... neptun kód:.. feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a név és aláírás rovatokkal kezdje! z alábbi kérdésekre

Részletesebben

Az INTEL D-2920 analóg mikroprocesszor alkalmazása

Az INTEL D-2920 analóg mikroprocesszor alkalmazása Az INTEL D-2920 analóg mikroprocesszor alkalmazása FAZEKAS DÉNES Távközlési Kutató Intézet ÖSSZEFOGLALÁS Az INTEL D 2920-at kifejezetten analóg feladatok megoldására fejlesztették ki. Segítségével olyan

Részletesebben

Informatika 1 2. el adás: Absztrakt számítógépek

Informatika 1 2. el adás: Absztrakt számítógépek Informatika 1 2. el adás: Budapesti M szaki és Gazdaságtudományi Egyetem 2015-09-08 1 2 3 A egy M = Q, Γ, b, Σ, δ, q 0, F hetes, ahol Q az 'állapotok' nem üres halmaza, Γ a 'szalag ábécé' véges, nem üres

Részletesebben

loop() Referencia: https://www.arduino.cc/en/reference/homepage

loop() Referencia: https://www.arduino.cc/en/reference/homepage Arduino alapok Sketch ~ Solution Forrás:.ino (1.0 előtt.pde).c,.cpp,.h Külső könyvtárak (legacy / 3rd party) Mintakódok (example) setup() Induláskor fut le, kezdeti értékeket állít be, inicializálja a

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

Áramkörön belüli rendszerek

Áramkörön belüli rendszerek Áramkörön belüli rendszerek SoC System on a Chip Egy mintapélda PACT XPP blokk PiCoGa M200 Embedded FPGA Az NoC részlet Az STNoC elınye Áramkörön belüli rendszerek SoC / SoPC System on a (Programmable)

Részletesebben

A Texas Instruments MSP430 mikrovezérlőcsalád

A Texas Instruments MSP430 mikrovezérlőcsalád 1.4.1. A Texas Instruments MSP430 mikrovezérlőcsalád A Texas Instruments MSP430-as mikrovezérlői 16 bites RISC alapú, kevert jelű (mixed signal) processzorok, melyeket ultra kis fogyasztásra tervezték.

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

A MicroBlaze processzor

A MicroBlaze processzor A MicroBlaze processzor 32 bites általános célú RISC processzor Alkalmas önálló feladatok végrehajtására Kiegészítő egység a nagyteljesítményű PowerPC-s rendszerekben egységes interfész felület Lágy processzor

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK 1 Az adatok feldolgozását végezhetjük olyan általános rendeltetésű digitális eszközökkel, amelyeket megfelelő szoftverrel (programmal) vezérelünk. A mai digitális

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Központi vezérlőegység

Központi vezérlőegység Központi vezérlőegység A számítógép agya a központi vezérlőegység (CPU: Central Processing Unit). Két fő része a vezérlőegység (CU: Controll Unit), ami a memóriában tárolt program dekódolását és végrehajtását

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben