Karakteres LCD kijelző használata MiniRISC processzoron

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Karakteres LCD kijelző használata MiniRISC processzoron"

Átírás

1 Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte tetszőleges külső egységek illesztési feladatainak megoldására, nem túl nagy sebességi igények és nem túl precíz időzítési előírások mellett. A sebességi és időzítési korlátok oka az, hogy a jeleket programból, szoftveresen kezeljük, ami értelemszerűen jelentős megkötéseket jelent az időbeli felbontás és beállíthatóság területén. Ugyanakkor nagyon sok alkalmazásban a követelmények nem túl szigorúak, és a programozottan állítható jelekkel nagyon egyszerűen, extra hardver költségek nélkül szinte tetszőleges interfész protokollokat realizálhatunk. Az LCD modul áramköri illesztése A gyakorlat során egy 2 soros 8 karakteres alfanumerikus LCD kijelző illeszthetőségét vizsgáljuk meg, a MiniRISC processzor bővítő csatlakozóin hozzáférhető GPIO portok, jelek segítségével. Az LCD kijelző önmagában egy bonyolult egység, a működését egy belső vezérlőegység biztosítja. Az LCD vezérlő a kijelző használatához egy 8 bites párhuzamos kommunikációs interfészt biztosít, az adatlapján megadott időzítési adatokkal. Az interfész adat és vezérlőjelei: DB[7:0] RS R/W E Nyolcbites, kétirányú adatbusz Parancs/adat regiszter kiválasztó vezérlőjel Írás/olvasás irány vezérlőjel Engedélyező és ütemező vezérlőjel F1.a. Az interfész követelmények áttekintésével ismerjük meg az LCD modul áramköri illesztését a MiniRISC processzor által biztosított GPIO interfész portokhoz. Elemezzük a jelvezetékek szükséges számát, azok kívánt funkcionalitását, az áramköri szintek illeszthetőségének követelményét a különböző típusú (bemenet, kimenet, be-/kimenet) jelek esetén. Tekintsük át az interfészjelek és vezérlőjelek, továbbá az elérhető GPIO bitek egymáshoz rendelését, használati módjuk beállítási lehetőségeit. Ha külső egységet szeretnénk GPIO periférián keresztül vezérelni, akkor az első lépés a szükséges áramköri kapcsolatok realizálhatóságának ellenőrzése. Jelen esetben szükségünk van egy 8 bites kétirányú adatbuszra, továbbá 3 db vezérlőjelre, amik állandóan kimeneti irányt igényelnek. Ennek egy lehetséges megoldása a következő választás: DB[7:0] 8 bites kétirányú adatbusz GPIO_A[7:0] 8 bites port-on keresztül RS, R/W, E 3 db vezérlőjel GPIO_C[4:0] 5 bites port 3 bitje lehet állandó kimeneti jel. Az LCD 5V-os tápfeszültséget igényel, TTL jelszintek mellett. Az FPGA 3,3V-os I/O tápfeszültséget használ, CMOS kimeneti jelszintek mellett. Ez azt jelenti, hogy a CMOS kimeneti jelek jelszintjei kompatibilisek a TTL bemeneti jelek előírásával. (CMOS H kimenet ~3,3V, L kimenet ~0V, TTL H bemenet >2V, TTL L bemenet <0,8V, tehát a működési feltételek teljesülnek). Az adatvonalak illesztése már problémásabb, hiszen itt lehetne olyan helyzet, amikor az 5V tápfeszültségű LCD modul vezérelné az FPGA 3,3V bemenetét, ami nem megengedhető. Ezért ide egy kétirányú 5V 3,3V szintillesztő áramkörre van szükség. Ezt a célt szolgálja a LOGSYS LCD modul

2 SN74LVC4245 kétirányú buszmeghajtó áramkörének használata. A fenti szempontok alapján megtervezett modul kapcsolási rajzának részlete látható az alábbi ábrán.. Az LCD modul adatátviteli protokoll programozása Az LCD kijelzőben található vezérlő áramkör két darab 8 bites regisztert tartalmaz, utasítás regisztert (IR) és adatregisztert (DR). A két regiszter között az interfész RS regiszterkiválasztó jele választ. Az üzemmód vezérlő vagy beállítási parancsokat az IR utasításregiszterbe írjuk, ugyanitt olvashatjuk a végrehajtás állapotát jelző státusz bitet, a kijelzendő karaktereket pedig az adatregiszterbe írhatjuk. (Az adatregiszter is olvasható, de ez nem gyakori művelet). A használat táblázatos összefoglalása (a DDRAM és a CGRAM a vezérlő memóriaterületei, a DDRAM a kijelzendő karakterek kódját, a CGRAM a karakterkészlet bitképeit tárolja: A kommunikációs interfész átviteli időzítéseit a következő ábra és táblázat szemlélteti, jobb oldalon az írás művelet (R/W = 0), a bal oldalon az olvasás művelet (R/W = 1) idődiagramja látható.:

3 F1.b A vezérlőjelek és GPIO bitek egymáshoz rendelésének ismeretében tekintsük át a szükséges GPIO műveleteket az adatok kiadásához, beolvasásához, a vezérlő jelek kiadásához. Készítsünk időbeli ütemezési tervet a szükséges elemi műveletekhez, hogy azok az adatátviteli protokoll előírásainak teljesítésével az LCD kijelző elvárásai szerinti jelváltásokat valósítsák meg. Fordítsuk le MiniRISC processzor utasításokra a megtervezett elemi adatmozgatási, jelváltási feladatokat. Az LCD modullal 4 fajta átvitel végezhető. 1. Parancskiadás 2. Státusz lekérdezés 3. Adatkiírás 4. Adatbeolvasás. Ezekből az utolsó nem szükséges a normál használathoz, tehát nem készítjük el. A státusz lekérdezés is elhagyható lenne, de ekkor a másik két művelet után mindig az adatlapban megadott maximális értékű, maximális végrehajtási időket mindig meg kellene várnunk, ami biztosan rosszabb az egyes egyedi példányokkal elérhető sebességnél. Ezért a maradék három esetet realizáljuk. A státusz bit olvasása mindkét kiviteli művelet (parancs vagy adat) szinkronizálásához felhasználható, mégpedig akkor járunk el helyesen, ha a kiviteli műveletek elején vizsgáljuk az LCD modul KÉSZ állapotát.

4 Az LCD vezérléséhez használt GPIO_A és GPIO_C portok regiszterei és perifériacímeik a következők: Az adatkimeneti és irányregiszterek tartalma visszaolvasható (maszkolás/tesztelés, XOR invertálás). A GPI_A 8 bites adatbusz alapállapota legyen mindig a bemeneti irány, tehát kikapcsolt kimenet. Ez egy biztonsági beállítás, elkerülendő a kimenetek véletlen szembehajtását. Ezt minden átviteli művelet után biztosítjuk, kikapcsolva a meghajtást. A többi regiszter alapértéke a következő: Státusz olvasása: Ez a szubrutin tehát induláskor már helyes adatbusz irányítással indulhat. A processzor beállítja az RS=0, R/W=1, E=0 értékeket. Ezután RS és R/W értékének fenntartása mellett E értékét 1-re állítja. Ez legalább 2 utasítás, tehát teljesül a tas = 80 ns. Az E=1 érték beállítása után tddr=100ns múlva érvényes az adat az adatbuszon, tehát a következő utasításban (ami 187,5ns időt igényel, már mintavételezhető a státuszinformáció. Ha a beolvasott adatbuszon a státuszbájt 7. bitjének (Busy Flag) értéke 1, akkor az LCD még nem végzett. Újabb olvasással várakozunk.

5 MEGFIGYELÉS: Az E jel magas értékének időtartamára nincs semmilyen korlátozás. Tehát lehet egyetlen kitartott olvasás műveletben folyamatosan figyelni a BF állapotának változását. Ez nem nagy győzelem, de egyszerűsíti a programot. Tehát egy rövid ciklusban várunk, amíg a beolvasott adatbusz 7. bitje 0 lesz. Amint ez teljesül az RS és az R/W bitek stabil állapotban tartása mellett (mert a tah = 10ns) E=0-t állítunk be, és vége a státusz olvasásnak. A státuszflag beolvasásának időbeli lefolyása a következő idődiagramon látható (egy utasítás végrehajtásának ideje 3 órajel ciklus, azaz 187,5 ns). Egy t=187,5ns egyenletes osztású időskálán inkrementálisan rajzoltuk fel a folyamatot, jelölve a várakozó ciklust, majd a befejezést.

6 Hasonló módon készíthető el a parancs és adatkiviteli szubrutin is. Az eltérés az, hogy a vezérlőjelek kiadása után következik a parancs/adat kiadása, ami igényli az adatvonalak kimeneti engedélyezését is. Tehát először, pl. a parancskiadásnál RS=0, R/W=0 E=0 beállítása. Ezután következik a munkaregiszterbe írt parancskód kiírása a GPIO_A adatregiszterébe. Ezután a GPIO_A DIR irányregiszterét (ADR) minden biten 1-re, azaz kimenetre állítjuk. Ezzel minden feltétel adott az E=1 végrehajtás engedélyezés kiadásához. Ha kiadtuk E=1 értékét, azonnal előkészíthetjük a visszavételét is, mert legalább 2 utasításidő lesz az E=0 kivitele (385ns > tweh), az RS és R/W jelek értékének tartása mellett. Ezután a GPIO_A port kimeneti adatbusz meghajtókat is ki kell kapcsolnunk, tehát az ADR (GPIO_A vonalak iránya) regiszterbe csupa 0 értéket írunk. Ezután biztonsággal visszatérhetünk a szubrutinból. MEGJEGYZÉS: A rajzolt idődiagramhoz képest az adatbusz bekapcsolása az E jel kiadása előtt történt. Ez az előírásoknak nem mond ellent, így is lehetséges a szabályok betartása. Persze lehetne először az E = 1 beállítása, majd ezután az adatbusz aktiválása és az adat kiadása. Egy t=187,5ns léptékű lineáris idődiagramon az átviteli eseményeket bejelölve rajzoltuk le a valódi jelváltozásokat.

7 F1.c Tekintsük át az LCD modul működését ismertető legfontosabb műszaki paramétereket, különös tekintettel az LCD modul belső vezérlő áramkörének parancs/adatátvitel végrehajtatási időzítésére. Az LCD vezérlő 270kHz-es órajelfrekvenciája miatt a végrehajtás nagyon lassú, minden átvitel után jelentős várakozási időt kell beiktatnunk. Az LCD kijelző rendelkezésre állását a foglaltság jelző bit (Busy Flag) lekérdezéses ellenőrzésével tudjuk tesztelni. Készítsük el a várakozó rutin programkódját. Mikor érdemes a foglaltságjelző bitet tesztelni? Erre kérdésre már válaszoltunk az F1.a. pontban. F1.d Tekintsük át az LCD modul bekapcsolási inicializálásának folyamatát, a szükséges beállítások szerepét, értelmezését. Röviden értelmezzük az inicializálási szubrutin programkódot és működését!

8 Mivel az alapértelmezett adatbusz szélesség 8 bit és a LOGSYS bővítő csatlakozón az adatbusz összes bitje rendelkezésre áll, ezért a foglaltság jelzés (BF) kezdettől fogva lekérdezhető. A kétsoros üzemmód inicializálásához a következő műveleteket kell végrehajtani a bekapcsolás után: Várakozzunk az LCD kijelző saját belső inicializálásának befejeződéséig, ezalatt BF=1 kb. 15 ms ideig. Adjuk ki a Function Set parancsot: DL=1, N=1, F=0 (BF=1 kb. 4,1 ms ideig) Adjuk ki a Display Off parancsot (BF=1 kb. 39 μs ideig) Adjuk ki a Clear Display parancsot (BF=1 kb. 1,53 ms ideig) Adjuk ki az Entry Mode Set parancsot: I/D=1, SH=0 (BF=1 kb. 39 μs ideig) Adjuk ki a Display On parancsot (BF=1 kb. 39 μs ideig) Az LCD inicializációs szubrutin magába foglalja a két GPIO port felkonfigurálását is. Ennek megfelelően GPIO_A kezdetben 8 bites bemenet, GPIO_C alsó 3 bitje állandó kimenet, és az alapértékük nulla. A parancs kódok a beállításuk után egyenként kiadhatók, mindegyik saját magát időzíti, várakozik a BF flagre.

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

Az MSP430 mikrovezérlők digitális I/O programozása

Az MSP430 mikrovezérlők digitális I/O programozása 10.2.1. Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Adatfeldolgozó rendszer tervezése funkcionális elemekkel

Adatfeldolgozó rendszer tervezése funkcionális elemekkel Adatfeldolgozó rendszer tervezése funkcionális elemekkel F1. Tervezzünk egy adatbányász egységet, amely egy 256x8 bites ROM adattároló memóriában megkeresi a megadott keresési feltételnek megfelelő legelső

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Kaméleon K860. IAS Automatika Kft www.iasautomatika.hu

Kaméleon K860. IAS Automatika Kft www.iasautomatika.hu Kaméleon K860 Univerzális Digitális Szabályozó A K860 szabályozók általános automatizálási feladatokra kifejlesztett digitális szabályozók. Épületgépészeti alkalmazásokra kiválóan alkalmasak, gazdaságos

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió

LOGSYS LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió LOGSYS SZTEREÓ CODEC MODUL FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 A modul működése... 2 3 A CODEC konfigurációja... 3 4 Időzítési

Részletesebben

SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel

SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel Czirkos Zoltán 2015. augusztus 26. Kivonat Az ismertetett SystemC modul egy mikroprocesszoros rendszerhez illeszthető megjelenítő

Részletesebben

1. ábra: Perifériára való írás idődiagramja

1. ábra: Perifériára való írás idődiagramja BELÉPTETŐ RENDSZER TERVEZÉSE A tárgy első részében tanult ismeretek részbeni összefoglalására tervezzük meg egy egyszerű mikroprocesszoros rendszer hardverét, és írjuk meg működtető szoftverét! A feladat

Részletesebben

Dinnyeválogató v2.0. Típus: Dinnyeválogató v2.0 Program: Dinnye2 Gyártási év: 2011 Sorozatszám: 001-1-

Dinnyeválogató v2.0. Típus: Dinnyeválogató v2.0 Program: Dinnye2 Gyártási év: 2011 Sorozatszám: 001-1- Dinnyeválogató v2.0 Típus: Dinnyeválogató v2.0 Program: Dinnye2 Gyártási év: 2011 Sorozatszám: 001-1- Omron K3HB-VLC elektronika illesztése mérlegcellához I. A HBM PW10A/50 mérlegcella csatlakoztatása

Részletesebben

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család

SYS700-PLM Power Line Monitor modul DDC rendszerelemek, DIALOG-III család DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A az energiaellátás minőségi jellemzőinek mérésére szolgáló szabadon programozható készülék. Épületfelügyeleti rendszerben (BMS), valamint önállóan

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális rendszerek. Mikroarchitektúra szintje

Digitális rendszerek. Mikroarchitektúra szintje Digitális rendszerek Mikroarchitektúra szintje Mikroarchitektúra Jellemzők A digitális logika feletti szint Feladata az utasításrendszer-architektúra szint megalapozása, illetve megvalósítása Példa Egy

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében SR mini PLC Modbus illesztő modul Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében Kimeneti pontok címkiosztása főmodul esetében, olvasásra

Részletesebben

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók INV-HU-000 A FB feladata A dokumentáció tartalma Szimbólum A CP1H vagy a CP1L PLC és frekvenciaváltó(k) automatikus kommunikációja: _INVHU000_WriteReadParameter A frekvenciaváltó üzemi paramétereinek írása,

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

PERREKUP DxxTx - HDK10 Rekuperátor vezérlő Használati Utasítás

PERREKUP DxxTx - HDK10 Rekuperátor vezérlő Használati Utasítás PERREKUP DxxTx - HDK10 Rekuperátor vezérlő Használati Utasítás Permanent Kft ver.20130502 Műszaki adatok Hálózati feszültség 220-240V AC / 50Hz Működési hőmérséklettartomány -30 ~ +65 C Maximális relatív

Részletesebben

DIALOG II PLM-B-000-LCD Hálózati paraméter felügyeleti modul Speciális készülékek

DIALOG II PLM-B-000-LCD Hálózati paraméter felügyeleti modul Speciális készülékek Speciális készülékek KIVITEL ALKALMAZÁS MŰKÖDÉS A DIALOG II PLM digitális szabadon programozható hálózati paraméter felügyeleti modul, három-, vagy egyfázisú hálózatok egyes, energetikai, illetve üzemviteli

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően

Részletesebben

PIC16F877 KÍSÉRLETI PANEL

PIC16F877 KÍSÉRLETI PANEL PIC16F877 KÍSÉRLEI PANEL 1. A PIC16F877 kísérlet panel rendeltetése PIC16F877 KÍSÉRLETI PANEL Szegő János Újpesti Kéttannyelvű Műszaki Szakközépiskola és Gimnázium ChipCAD kft, Budapest A panel PIC16F87x

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás:

SYS700-A Digitális szabályozó és vezérlõ modul DDC rendszerelemek, DIALOG-III család. Terméktámogatás: DDC rendszerelemek, DIALOG-III család KIVITEL ALKALMAZÁS A SYS00-A a Dialog-III készülékcsalád analóg jelek kezelésére alkalmas tagja, amely kifejezetten épületgépészeti szabályozási és vezérlési feladatok

Részletesebben

Összetett feladatok megoldása

Összetett feladatok megoldása Összetett feladatok megoldása F1. A laboratóriumi feladat a legnagyobb közös osztó kiszámító algoritmusának realizálása digitális hardver eszközökkel. Az Euklideszi algoritmus alapja a maradékos osztás,

Részletesebben

Az I2C egy soros, 8 bit-es, kétirányú kommunikációs protokoll, amelynek sebessége normál üzemmódban 100kbit/s, gyors üzemmódban 400kbit/s.

Az I2C egy soros, 8 bit-es, kétirányú kommunikációs protokoll, amelynek sebessége normál üzemmódban 100kbit/s, gyors üzemmódban 400kbit/s. Az I2C busz fizikai kialakítása Az I2C egy soros, 8 bit-es, kétirányú kommunikációs protokoll, amelynek sebessége normál üzemmódban 100kbit/s, gyors üzemmódban 400kbit/s. I2C busz csak két db kétirányú

Részletesebben

Roger UT-2. Kommunikációs interfész V3.0

Roger UT-2. Kommunikációs interfész V3.0 ROGER UT-2 1 Roger UT-2 Kommunikációs interfész V3.0 TELEPÍTŐI KÉZIKÖNYV ROGER UT-2 2 ÁLTALÁNOS LEÍRÁS Az UT-2 elektromos átalakítóként funkcionál az RS232 és az RS485 kommunikációs interfész-ek között.

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

loop() Referencia: https://www.arduino.cc/en/reference/homepage

loop() Referencia: https://www.arduino.cc/en/reference/homepage Arduino alapok Sketch ~ Solution Forrás:.ino (1.0 előtt.pde).c,.cpp,.h Külső könyvtárak (legacy / 3rd party) Mintakódok (example) setup() Induláskor fut le, kezdeti értékeket állít be, inicializálja a

Részletesebben

XII. PÁRHUZAMOS ÉS A SOROS ADATÁTVITEL

XII. PÁRHUZAMOS ÉS A SOROS ADATÁTVITEL XII. PÁRHUZAMOS ÉS A SOROS ADATÁTVITEL Ma, a sok más felhasználás mellett, rendkívül jelentős az adatok (információk) átvitelével foglakozó ágazat. Az átvitel történhet rövid távon, egy berendezésen belül,

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Saját IP készítése, periféria illesztés Fehér

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

Programozott soros szinkron adatátvitel

Programozott soros szinkron adatátvitel Programozott soros szinkron adatátvitel 1. Feladat Név:... Irjon programot, mely a P1.0 kimenet egy lefutó élének időpontjában a P1.1 kimeneten egy adatbitet ad ki. A bájt legalacsonyabb helyiértéke 1.

Részletesebben

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191 SIOUX-RELÉ Sioux relé modul telepítési leírás Szerkesztés 1.2 20MACIE0191 1 Leírás 1.1 Leírás A Sioux-relé egy soros modul, amely tartalmaz egy master kártyát, amely maximum két slave kártyával bővíthető.

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Serial 2: 1200/2400 bps sebességû rádiós modem vagy

Serial 2: 1200/2400 bps sebességû rádiós modem vagy - ATMEL ATmega Processzor - kb Flash memória a program részére - kb belsõ és Kb külsõ EEPROM - kb belsõ és kb külsõ RAM - db többfunkciós soros interfész (kiépitéstõl függõen) Serial : RS- vagy RS-5 (fél-

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

BELÉPTETŐ RENDSZER TERVEZÉSE

BELÉPTETŐ RENDSZER TERVEZÉSE BELÉPTETŐ RENDSZER TERVEZÉSE Számítógép-architektúrák 1. gyakorlat 2011. szeptember 21., Budapest Dr. Lencse Gábor tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.hu Beléptető rendszer

Részletesebben

KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS

KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS KIBŐVÍTETT RUGALMAS AUTOMATIZÁLÁS ZEN-C4 nagyobb rugalmasság RS-485 kommunikációval Kínálatunk kommunikációs típussal bővült. Így már lehetősége van több ZEN egység hálózati környezetbe csatlakoztatására.

Részletesebben

Az AVR programozás alapjai. Előadja: Both Tamás

Az AVR programozás alapjai. Előadja: Both Tamás Az AVR programozás alapjai Előadja: Both Tamás Fordító C nyelven programozunk Ehhez az AVR-GCC fordító áll rendelkezésre Ennek használatához a WinAVR-t kell telepíteni Teljes értékű C fordító, minden megengedett,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. október 17. Laboratóriumi berendezések

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

T2-CNCUSB vezérlő család hardver segédlet

T2-CNCUSB vezérlő család hardver segédlet T2-CNCUSB vezérlő család hardver segédlet CPU5A Kártyaméret: 100x100mm 3 vagy 4 tengelyes interpoláció, max.125 KHz léptetési frekvencia. Szabványos kimenetek (Főorsó BE/KI, Fordulatszáám: PWM / 0-10V,

Részletesebben

LOGSYS LOGSYS HŐMÉRŐ ÉS EEPROM MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió 1.0.

LOGSYS LOGSYS HŐMÉRŐ ÉS EEPROM MODUL FELHASZNÁLÓI ÚTMUTATÓ szeptember 16. Verzió 1.0. LOGSYS HŐMÉRŐ ÉS EEPROM MODUL FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Az I 2 C busz általános ismertetése... 2 3 Az SPI busz általános

Részletesebben

Vegyes témakörök. 1. Soros vezérlésű LCD számkijelző. Hobbielektronika csoport 2018/2019. Debreceni Megtestesülés Plébánia

Vegyes témakörök. 1. Soros vezérlésű LCD számkijelző. Hobbielektronika csoport 2018/2019. Debreceni Megtestesülés Plébánia Vegyes témakörök 1. Soros vezérlésű LCD számkijelző 1 Felhasznált anyagok Microchip: AN658 LCD Fundamentals... PHILIPS: Az I2C busz és használata Instructables: Arduino MiniPirate leírás MiniPirate forráskód:

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A digitális tervezésben gyakran szükséges a logikai jelek változását érzékelni és jelezni. A változásdetektorok készülhetnek csak egy típusú változás (0 1, vagy

Részletesebben

Tartalom. Port átalakítók, AD/DA átalakítók. Port átalakítók, AD/DA átalakítók H.1. Port átalakítók, AD/DA átalakítók Áttekintés H.

Tartalom. Port átalakítók, AD/DA átalakítók. Port átalakítók, AD/DA átalakítók H.1. Port átalakítók, AD/DA átalakítók Áttekintés H. Tartalom Port átalakítók, Port átalakítók, Port átalakítók, Port átalakítók, Áttekintés.2 Soros port átalakítók.4.6.1 Port átalakítók, Áttekintés Port átalakítók, Soros port jelátalakítók és /RS485/422

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

Felhasználói kézikönyv MC442H típusú léptetőmotor meghajtóhoz

Felhasználói kézikönyv MC442H típusú léptetőmotor meghajtóhoz Felhasználói kézikönyv MC442H típusú léptetőmotor meghajtóhoz Műszaki adatok: Kimeneti áram: 1,0 4,2 A 15 beállítható mikró lépés felbontás (400-25 600 lépcső / fordulat) Rms érték: 3,0 A Tápfeszültség:

Részletesebben

222-1. SYS700-DIDO Digitális szabadon programozható szabályozó (Digitális be- és kimenettel) Szabályozók és vezérlõk. 2010.

222-1. SYS700-DIDO Digitális szabadon programozható szabályozó (Digitális be- és kimenettel) Szabályozók és vezérlõk. 2010. SYS700-DIDO KIVITEL ALKALMAZÁS, ILLESZTHETÕSÉG A SYS700-DIDO a Dialog-III készülékcsalád digitális jelek kezelésére alkalmas tagja, amely kifejezetten épületgépészeti vezérlési feladatok ellátására lett

Részletesebben

HSS60 ( ) típusú léptetőmotor meghajtó

HSS60 ( ) típusú léptetőmotor meghajtó HSS60 (93.034.027) típusú léptetőmotor meghajtó Jellemzők Teljesen zárt kör Alacsony motorzaj Alacsony meghajtó és motormelegedés Gyors válaszidő, nagy motorsebesség Optikailag leválasztott ki és bemenetek

Részletesebben

Megoldás. Feladat 1. Statikus teszt Specifikáció felülvizsgálat

Megoldás. Feladat 1. Statikus teszt Specifikáció felülvizsgálat Megoldás Feladat 1. Statikus teszt Specifikáció felülvizsgálat A feladatban szereplő specifikáció eredeti, angol nyelvű változata egy létező eszköz leírása. Nem állítjuk, hogy az eredeti dokumentum jól

Részletesebben

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók.

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók. 5. Laborgyakorlat Számláló funkciók, időzítő funkciók. A gyakorlat célja A számlálók és időzítők használata gyakori a folyamatirányításban. Gondoljunk egy futószalag indításának a késleltetésére, megállításánál

Részletesebben

Összeadás BCD számokkal

Összeadás BCD számokkal Összeadás BCD számokkal Ugyanúgy adjuk össze a BCD számokat is, mint a binárisakat, csak - fel kell ismernünk az érvénytelen tetrádokat és - ezeknél korrekciót kell végrehajtani. A, Az érvénytelen tetrádok

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

HSS86 ( ) típusú léptetőmotor meghajtó

HSS86 ( ) típusú léptetőmotor meghajtó HSS86 (93.034.028) típusú léptetőmotor meghajtó Jellemzők Teljesen zárt kör Alacsony motorzaj Alacsony meghajtó és motormelegedés Gyors válaszidő, nagy motorsebesség Optikailag leválasztott ki és bemenetek

Részletesebben

9.1.1. ARM mikrovezérlők programozása

9.1.1. ARM mikrovezérlők programozása 9.1.1. ARM mikrovezérlők programozása E fejezetben az ARM mikrovezérlők programozása lesz ismertetve néhány példaprogram és gyakorlati alkalmazás bemutatásával. Az általunk használt ARM mikrovezérlő gyártója

Részletesebben

Útmutató EDC kézivezérlőhöz

Útmutató EDC kézivezérlőhöz Útmutató EDC kézivezérlőhöz ALAPFUNKCIÓK A kézivezérlő használata során állítsa az EDC vezérlő előlapján található forgó kapcsolót 0 állásba. Ezáltal a felhasználó a kézivezérlő segítségével férhet hozzá,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

KeyLock-23 Digitális kódzár 2 kimenettel, 3 bemenettel

KeyLock-23 Digitális kódzár 2 kimenettel, 3 bemenettel KeyLock-23 Digitális kódzár 2 kimenettel, 3 bemenettel HU Felhasználói és programozói leírás A kézikönyv tartalmára és a benne leírt berendezésre vonatkozóan a fejlesztő és gyártó fenntartja a változtatás

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

LOGSYS LOGSYS SPARTAN-6 FPGA KÁRTYA (V2.1) FELHASZNÁLÓI ÚTMUTATÓ. 2013. október 2. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-6 FPGA KÁRTYA (V2.1) FELHASZNÁLÓI ÚTMUTATÓ. 2013. október 2. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS SPARTAN-6 FPGA KÁRTYA (V2.1) FELHASZNÁLÓI ÚTMUTATÓ 2013. október 2. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 3 LED-ek, hétszegmenses kijelzők, kapcsolók...

Részletesebben

Miskolci Egyetem Gépészmérnöki és Informatikai Kar. Villamosmérnöki BSc. szak Ipari automatizálás és kommunikáció szakirány

Miskolci Egyetem Gépészmérnöki és Informatikai Kar. Villamosmérnöki BSc. szak Ipari automatizálás és kommunikáció szakirány Miskolci Egyetem Gépészmérnöki és Informatikai Kar Villamosmérnöki BSc. szak Ipari automatizálás és kommunikáció szakirány Jelfogók működésének regisztrálása a D55 típusú biztosítóberendezés egységeiben

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben