Az MSP430 mikrovezérlők digitális I/O programozása

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Az MSP430 mikrovezérlők digitális I/O programozása"

Átírás

1 Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó képessége is. Az általunk használt MSP430f261x-as 6 Portal rendelkezik: P1, P2, P3, P4, P5, P6. Mindegyik portnak 8 általános célú kimeneti/bemeneti (I/O) vonala van, az összes szükséges ellenőrző és konfigurálható regiszterrel. Mindegyik I/O vonalat egymástól függetlenül lehet ellenőrizni. A Portok MDB és MAB buszokon keresztül kapcsolódnak a processzor magjához. 1.ábra - Az MSP430f261x felépítése Konfiguráció 1. Első lépés - be kell állítani a feladat választó regisztert SEL (pl. P1SEL). Az ellenőrző regiszterek segítségével rendeljük el a kívánt funkciót. Mindegyik regiszter nyolc bitet tartalmaz, amelyek a megfelelő lábakra vonatkoznak és mindegyik láb funkcióját külön-külön lehet megadni. 2. Második lépés - meg kell határozni, hogy kimeneti (O) vagy bemeneti (I) portnak akarjuk-e használni. Ezt a DIR regiszterben állítjuk be (pl. P1DIR). A vezérlő regiszterek nyolc egymástól független bitet tartalmaznak, amelyek meghatározzák a kimeneti/bemeneti lábak vezérlését. Amikor az adott bit = 0 a láb bemenetként működik, amikor az adott bit = 1 a láb kimenetként működik.

2 3. Harmadik lépés - Az előző beállításoktól függően lehet: IN regiszterből olvashatunk azdatot a perifériáról OUT regiszterbe kell írnunk a perifériára szánt adatot Interrupt zászlók Mindegyik interrupt flag regiszter nyolc flaget tartalmaz, amelyek jelzik, hogy folyamatban vane interrupt (megszakítás) a megfelelő lábon(pl. P1IFG). Interrupt engedélyező regiszterek Mindegyik interruptot engedélyező regiszter tartalmaz biteket amelyek hozzáférhetővé teszik az interrupt flageket mindegyik kimeneti/bemeneti láb részére a porton belül. Amikor a bit=1 a megszakítás kérés elérhető és amikor bit=0 megszakítás nem elérhető. (pl.p1ie) Részletes leírás a ki- és bemeneti konfigurációról valamit a megszakításról az MSP_430x2xxx - Family User's Guide ##LINK: könyv 8. fejezetében található. 1. Feladat: Egy LED bekapcsolása! 2. ábra - LED-ek bekötése (kapcsolás pozitív logikára)

3 folyamatábra: megvalósítás: 3. ábra - LED folyamatábra //deklarációs rész WDTCTL = WDTPW + WDTHOLD; P2OUT = 0xff; // Stop WDT // beállítjuk P2 kimenetnek P2OUT= ~ 0x01; // kigyújtjuk az első LED-et megjegyzés: a fenti kód az inverz logikával kötött LED-ek esetét mutatja. Összegzés: A deklarációs részt kötelező megadni. A főprogramunkat main() belül kell, hogy legyen. Érdemes megemlíteni a watchdog timert. Ennek a modulnak az elsődleges szerepe, hogy ellenőrzött módon és időn belül újra indítja a rendszert, amikor valamilyen szoftveres hiba jelentkezik. A példában kikapcsoltuk. Mivel nem akarunk különleges funkciót végezni így nem kell átállítani a P2SEL regiszter, hiszen alapértelmezetten: P2SEL=0x00, vagyis a port I/O üzemben van. P2OUT tartalma pozitív logikára: P2OUT = 0x01 avagy binárisan Ekkor a legkisebb helyértékű LED fog világítani.

4 P2OUT tartalma inverz logikára: P2OUT = ~0x01 avagy binárisan Ekkor szintén a legkisebb helyértékű LED fog világítani. A P2OUT regiszter egyszerre 8 I/O lábat címez. Vagyis egyszerre több LED-et is be tudtunk kapcsolni. 2.Feladat: Az összes LED bekapcsolása! megvalósítás: WDTCTL = WDTPW + WDTHOLD; // Stop WDT P2OUT=0xff; P2OUT=0x00; Összegzés: Az előző példától nem sokban tér el, most az összes LED-et kigyújtottuk 0x00-al. 3.Feladat: LED villogtatás! megvalósítás: WDTCTL = WDTPW + WDTHOLD; // Stop WDT // beállítsuk P2 kimenetnek P2OUT = 0xff; unsigned int i; // előjel nélküli integer while(1) // végtelen ciklus P2OUT= ~ 0x01; // bekapcsoljuk az első LED-et for(i=0;i<50000;i++); // várakozás, ez alatt a LED világít P2OUT=0xff; // kikapcsoljuk az első LED-et for(i=0;i<50000;i++); // várakozás, ez alatt a LED NEM világít Összegzés: Létre kell hozni egy előjel nélküli egész változót a késleltetési ciklusok véget (unsigned int i). Létrehozunk egy végtelen ciklust: while (1), vagy for( ; ; ) segítségével. Bekacsoljuk a LED-et, várakozunk, kikapcsoljuk a LED-et, ismét várakozunk. Természetesen minél rövidebb a várakozási ciklus annál gyorsabban fog villogni a LED. 4. Feladat: LED léptetés shift utasítással (jobbra)

5 megvalósítás: #include <math.h> int main( void ) WDTCTL = WDTPW + WDTHOLD; P2DIR = 0xff; P2OUT =0xff; unsigned int i; int k; k=128; while (1) if (k==0) P2OUT &=~0x80; for(i=0;i<50000;i++) k=128; k=k>>1; P2OUT= ~ k; for(i=0;i<50000;i++) // a legnagyobb helyértéktől indulunk //128 hexadecimális értéke //jobbra shift Összegzés: A k segédváltozó kap egy kezdő értéket, 128-at ( binárisan), vagyis a legnagyobb helyértéken található egy 1-es. A segédváltozót léptetjük jobbra, kiküldjük a LED-re (vagy a negáltját). Amennyiben a segédváltozó eléri a 0 értéket, ismét feltöltjük 128-el. lépés k k BIN k INV BIN ábra a k segédváltozó értékeinek sora 5. Feladat: A lenyomott taszterek sorszámával azonos sorszámú LED-ek világítsanak! A taszterek bekötési rajza:

6 5. ábra - A taszterek bekötési rajza Folyamatábra: 6.ábra - Folyamatábra LED és taszter

7 Megvalósítás: WDTCTL = WDTPW + WDTHOLD; P2OUT = 0xff; // P2 output, a LED-ek kimenetnek megadva P1DIR = 0x00; P1OUT = 0x00; while(1) P2OUT =~ P1IN; // P1 input, a taszterek bemenetnek megadva Összegzés: A portok felkonfigurálása után. Egy végtelen ciklusban a P1-es portról beolvasott taszter értékeket negáljuk majd kiküldjük a P2-es portra a negatív logikával bekötött LED sorra. Irodalom: MSP_430x2xxx - Family User's Guide ##LINK:

MSP430 programozás Energia környezetben. Az I/O portok kezelése

MSP430 programozás Energia környezetben. Az I/O portok kezelése MSP430 programozás Energia környezetben Az I/O portok kezelése 1 Egyszerű I/O vezérlés Digitális I/O pinmode(pin, mode) kivezetés üzemmódjának beállítása digitalwrite(pin, state) - kimenetvezérlés digitalread(pin)

Részletesebben

11.3.1. Az MSP430 energiatakarékos használata

11.3.1. Az MSP430 energiatakarékos használata 11.3.1. Az MSP430 energiatakarékos használata A Texas Instruments ##LINK: www.ti.com## által fejlesztett MSP430 ##Mixed Signal Processor## család tagjai létrehozásakor a tervezők fontos célja volt a rendkívül

Részletesebben

Bevezetés a mikrovezérlők programozásába: I/O portok kezelése, számrendszerek, bitműveletek

Bevezetés a mikrovezérlők programozásába: I/O portok kezelése, számrendszerek, bitműveletek Bevezetés a mikrovezérlők programozásába: I/O portok kezelése, számrendszerek, bitműveletek 1 Ajánlott irodalom Aduino LLC.: Arduino Language Reference ATMEL: ATmega328p mikrovezérlő adatlapja Brian W.

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

Az AVR programozás alapjai. Előadja: Both Tamás

Az AVR programozás alapjai. Előadja: Both Tamás Az AVR programozás alapjai Előadja: Both Tamás Fordító C nyelven programozunk Ehhez az AVR-GCC fordító áll rendelkezésre Ennek használatához a WinAVR-t kell telepíteni Teljes értékű C fordító, minden megengedett,

Részletesebben

A Texas Instruments MSP430 mikrovezérlőcsalád

A Texas Instruments MSP430 mikrovezérlőcsalád 1.4.1. A Texas Instruments MSP430 mikrovezérlőcsalád A Texas Instruments MSP430-as mikrovezérlői 16 bites RISC alapú, kevert jelű (mixed signal) processzorok, melyeket ultra kis fogyasztásra tervezték.

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

Labor 2 Mikrovezérlők

Labor 2 Mikrovezérlők Labor 2 Mikrovezérlők ATMEL AVR - ARDUINO BUDAI TAMÁS 2015. 09. 06. Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások Mechatronika és mikroszámítógépek 2018/2019 I. félév Külső megszakítások Megszakítás, Interrupt A megszakítás egy olyan esemény, vagy feltétel teljesülése, amely felfüggeszti a program futását, a vezérlést

Részletesebben

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka MPLAB IDE - SIM - - Rövid ismertető a használathoz - 3E22 89/2004 2006. November 14 Szabadka - 2 - Tartalomjegyzék TARTALOMJEGYZÉK 3 SIMULATOR I/O 4 SIMULATOR STIMULUS 4 STIMULUS VEZÉRLŐ (CONTROLLER) 5

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

Poolcontroller. Felhasználói leírás

Poolcontroller. Felhasználói leírás Poolcontroller Felhasználói leírás Ring Elektronika Ipari és Elektronika Kft. Budapest 1031 Pákász u. 7. Tel/Fax:+3612420718, Mobil: 06209390155 e-mail: ring.elektronika@mail.datanet.hu web: www.ringel.hu

Részletesebben

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Rendszer órajel Órajel osztás XTAL Divide Control (XDIV) Register 2 129 oszthat Órajel források CKSEL fuse bit Külső kristály/kerámia rezonátor Külső

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

MSP430 programozás Energia környezetben. Szervó motorok vezérlése

MSP430 programozás Energia környezetben. Szervó motorok vezérlése MSP430 programozás Energia környezetben Szervó motorok vezérlése 1 Szervo motorok Felépítés Jelalak 2 Servo programkönyvtár A gyári Servo programkönyvtár max. 8 db szervót kezel, s ezekhez felhasználja

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

The modular mitmót system. DPY kijelző kártya C API

The modular mitmót system. DPY kijelző kártya C API The modular mitmót system DPY kijelző kártya C API Dokumentációkód: -D 01.0.0.0 Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Beágyazott Információs Rendszerek

Részletesebben

Bevezetés a mikrovezérlők programozásába: DC motorok vezérlése

Bevezetés a mikrovezérlők programozásába: DC motorok vezérlése Bevezetés a mikrovezérlők programozásába: DC motorok vezérlése 1 Lab 18 projektek L293D_test_1M.ino tesztprogram egy motor vezérléséhez L293D_test_2M.ino tesztprogram két motor vezérléséhez L293D_test2_2M.ino

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

inels timer Működési elv:

inels timer Működési elv: inels timer Ez az időzítő arra való, hogy a telefon vagy tablet felületén kézi gombokkal be lehessen állítani időzített eseményt, amely egyelőre 24 órás maximális késleltetési időtartamot tesz lehetővé.

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Programozott soros szinkron adatátvitel

Programozott soros szinkron adatátvitel Programozott soros szinkron adatátvitel 1. Feladat Név:... Irjon programot, mely a P1.0 kimenet egy lefutó élének időpontjában a P1.1 kimeneten egy adatbitet ad ki. A bájt legalacsonyabb helyiértéke 1.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Programozás és Digitális technika I. Pógár István eng.unideb.hu/pogari

Programozás és Digitális technika I. Pógár István eng.unideb.hu/pogari Programozás és Digitális technika I. Pógár István pogari@eng.unideb.hu eng.unideb.hu/pogari Ajánlott irodalom Massimo Banzi Getting Started with Arduino Michael Margolis Make an Android Controlled Robot

Részletesebben

Mechatronika és mikroszámítógépek. 2016/2017 I. félév. Analóg-digitális átalakítás ADC, DAC

Mechatronika és mikroszámítógépek. 2016/2017 I. félév. Analóg-digitális átalakítás ADC, DAC Mechatronika és mikroszámítógépek 2016/2017 I. félév Analóg-digitális átalakítás ADC, DAC AD átalakítás Cél: Analóg (időben és értékben folytonos) elektromos mennyiség kifejezése digitális (értékében nagyságában

Részletesebben

Jelfeldolgozás a közlekedésben. 2017/2018 II. félév. Analóg-digitális átalakítás ADC, DAC

Jelfeldolgozás a közlekedésben. 2017/2018 II. félév. Analóg-digitális átalakítás ADC, DAC Jelfeldolgozás a közlekedésben 2017/2018 II. félév Analóg-digitális átalakítás ADC, DAC AD átalakítás Cél: Analóg (időben és értékben folytonos) elektromos mennyiség kifejezése digitális (értékében nagyságában

Részletesebben

Programozható Logikai Vezérlő

Programozható Logikai Vezérlő 4. előadás Tartalom: A feladata A felépítése, típusai, részegységei Programnyelvek Programozás (FST) FESTO -k bemutatása (FEC20-DC, ) FEC programozása FST bemutatása Automatizálástechnika I. előadás Farkas

Részletesebben

FL-11R kézikönyv Viczai design 2010. FL-11R kézikönyv. (Útmutató az FL-11R jelű LED-es villogó modell-leszállófény áramkör használatához)

FL-11R kézikönyv Viczai design 2010. FL-11R kézikönyv. (Útmutató az FL-11R jelű LED-es villogó modell-leszállófény áramkör használatához) FL-11R kézikönyv (Útmutató az FL-11R jelű LED-es villogó modell-leszállófény áramkör használatához) 1. Figyelmeztetések Az eszköz a Philips LXK2 PD12 Q00, LXK2 PD12 R00, LXK2 PD12 S00 típusjelzésű LED-jeihez

Részletesebben

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében SR mini PLC Modbus illesztő modul Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében Kimeneti pontok címkiosztása főmodul esetében, olvasásra

Részletesebben

Megoldás. Feladat 1. Statikus teszt Specifikáció felülvizsgálat

Megoldás. Feladat 1. Statikus teszt Specifikáció felülvizsgálat Megoldás Feladat 1. Statikus teszt Specifikáció felülvizsgálat A feladatban szereplő specifikáció eredeti, angol nyelvű változata egy létező eszköz leírása. Nem állítjuk, hogy az eredeti dokumentum jól

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók INV-HU-000 A FB feladata A dokumentáció tartalma Szimbólum A CP1H vagy a CP1L PLC és frekvenciaváltó(k) automatikus kommunikációja: _INVHU000_WriteReadParameter A frekvenciaváltó üzemi paramétereinek írása,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Silabs STK3700, Simplicity Studio laborgyakorlat

Silabs STK3700, Simplicity Studio laborgyakorlat Silabs STK3700, Simplicity Studio laborgyakorlat Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 Saját Firmware library Saját

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

MSP430 programozás Energia környezetben. Hétszegmenses LED kijelzok

MSP430 programozás Energia környezetben. Hétszegmenses LED kijelzok MSP430 programozás Energia környezetben Hétszegmenses LED kijelzok 1 A hétszegmenses kijelző A hétszegmenses kijelzők 7 db LED-et vagy LED csoportot tartalmaznak, olyan elrendezésben, hogy a 0 9 arab számjegyeket

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák A mikroprogram Mic 1: 4.. ábra. 51x3 bites vezérlőtár a mikroprogramnak, MPC (MicroProgram Counter): mikroprogram utasításszámláló. MIR (MicroInstruction Register): mikroutasítás regiszter. Az adatút ciklus

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

MSP430 programozás Energia környezetben. LED kijelzok második rész

MSP430 programozás Energia környezetben. LED kijelzok második rész MSP430 programozás Energia környezetben LED kijelzok második rész 1 Lab13 SPI_595_7seg Egyszerű mintaprogram kétszámjegyű hétszegmenses LED kijelzővel, 74HC595 shift regiszterrel, SPI programkönyvtár használattal

Részletesebben

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő 1 Lab 20 projektek MiniPirate.ino Arduino Mini Pirate interaktív vizsgálóprogram, amelyet most az I2C busz kézivezérlésére

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

Általános útmutató FIGYELMEZTETŐ VIGYÁZAT

Általános útmutató FIGYELMEZTETŐ VIGYÁZAT Általános útmutató Figyelmesen olvassa el a használati útmutatót, mielőtt használná a készüléket. Ez az útmutató információkat ad arról, hogyan kell üzembe helyezni és használni a készüléket. A használati

Részletesebben

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

DFÁVA-EP. Digitális földzárlati áramnövelő ellenállást vezérlő automatika. Műszaki leírás. Azonosító: BU 13 11586-01

DFÁVA-EP. Digitális földzárlati áramnövelő ellenállást vezérlő automatika. Műszaki leírás. Azonosító: BU 13 11586-01 DFÁVA-EP Digitális földzárlati áramnövelő ellenállást vezérlő automatika Műszaki leírás Azonosító: BU 13 11586-01 Ez a leírás az EuroProt készülékcsalád számára készült EPKU-2004 jelű általános kezelési

Részletesebben

2-VEZETÉKES KAPUTELEFON RENDSZER. Kiegészítő egység VDT SC6V. VDT-SC6V Leírás v1.0.pdf

2-VEZETÉKES KAPUTELEFON RENDSZER. Kiegészítő egység VDT SC6V. VDT-SC6V Leírás v1.0.pdf 2-VEZETÉKES KAPUTELEFON RENDSZER Kiegészítő egység VDT SC6V VDT-SC6V Leírás v1.0.pdf Tartalom 1 Ismertető... 3 2 Kaputábla leírása... 3 3 Rögzítés... 4 4 Kábelezési rajz... 4 5 Konfiguráció... 5 5.1 A

Részletesebben

A Hobbielektronika csoport. bemutatója

A Hobbielektronika csoport. bemutatója A Hobbielektronika csoport bemutatója 2013. május 20. Debreceni Megtestesülés Plébánia 1 Ha a fényemittáló diódán (LED) nyitóirányú áram folyik, a dióda fényt bocsájt ki. Az MSP430 Launchpad kártya mikrovezérlője

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Mikrovezérlők programozása

Mikrovezérlők programozása Analóg és digitális rsz-ek megvalósítása prog. mikroák-kel BMEVIEEM371 Budapesti Műszaki és Gazdaságtudományi Egyetem Mikrovezérlők programozása Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013.

Részletesebben

Programozható logikai vezérlõk

Programozható logikai vezérlõk BUDAPESTI MÛSZAKI EGYETEM KÖZLEKEDÉSMÉRNÖKI KAR KÖZLEKEDÉSAUTOMATIKAI TANSZÉK Programozható logikai vezérlõk Segédlet az Irányítástechnika I. c. tárgyhoz Összeállította: Szabó Géza egyetemi tanársegéd

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

Készítette: Oláh István mestertanár

Készítette: Oláh István mestertanár BME Automatizálási és Alkalmazott Informatikai Tanszék Villamos laboratórium 1. PLC-k programoza sa Mérési útmutató Készítette: Oláh István mestertanár (olah.istvan@aut.bme.hu) 2014. szeptember Bevezetés

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

2-VEZETÉKES KAPUTELEFON RENDSZER Telefonos illesztő / Telefonhívó modul. VDT-TPC Felhasználói és telepítői kézikönyv VDT-TPC. VDT-TPC Leírás v1.0.

2-VEZETÉKES KAPUTELEFON RENDSZER Telefonos illesztő / Telefonhívó modul. VDT-TPC Felhasználói és telepítői kézikönyv VDT-TPC. VDT-TPC Leírás v1.0. 2-VEZETÉKES KAPUTELEFON RENDSZER Telefonos illesztő / Telefonhívó modul VDT-TPC Felhasználói és telepítői kézikönyv VDT-TPC VDT-TPC Leírás v1.0.pdf Bevezető Leírás: A VDT-TPC egy telefonos illesztő modul

Részletesebben

VEZÉRLŐEGYSÉGEK. Tartalom

VEZÉRLŐEGYSÉGEK. Tartalom VEZÉRLŐEGYSÉGEK Tartalom VEZÉRLŐEGYSÉGEK... 1 Vezérlőegységek fajtái és jellemzői... 2 A processzor elemei... 2 A vezérlés modellje... 2 A vezérlőegységek csoportosítása a tervezés módszere szerint...

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

IO-84. Ki/Bemeneti modul. Telepítői kézikönyv VB SOROZATÚ KOMMUNIKÁTOROKHOZ. Rev

IO-84. Ki/Bemeneti modul. Telepítői kézikönyv VB SOROZATÚ KOMMUNIKÁTOROKHOZ. Rev IO-84 Ki/Bemeneti modul VB SOROZATÚ KOMMUNIKÁTOROKHOZ Telepítői kézikönyv Rev. 2016.05.27. MEGFELELŐSÉGI NYILATKOZAT A VILLBAU Biztonságtechnikai Kereskedelmi és Műszaki Szolgáltató Kft. (1182. Budapest,

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Assembly Utasítások, programok. Iványi Péter

Assembly Utasítások, programok. Iványi Péter Assembly Utasítások, programok Iványi Péter Assembly programozás Egyszerű logikán alapul Egy utasítás CSAK egy dolgot csinál Magas szintű nyelven: x = 5 * z + y; /* 3 darab művelet */ Assembly: Szorozzuk

Részletesebben

Mikrokontrollerek és alkalmazásaik Beadandó feladat

Mikrokontrollerek és alkalmazásaik Beadandó feladat Mikrokontrollerek és alkalmazásaik Beadandó feladat Digitális hőmérősor Sándor Máté Csaba, SAMPAT.ELTE A tantárgy félév végi feladataként egy önálló projekt elkészítését kaptuk feladatul. Én egy olyan

Részletesebben

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között -0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez -1 Kommunikáció LG PMU és LG GLOFA-GM / GM között -1-1 PLC programozó csatlakozója ( CPU loader port ) -1- PLC beépített C-NET csatlakozója (CPU C-net) -1-

Részletesebben

Analóg-digitál átalakítók (A/D konverterek)

Analóg-digitál átalakítók (A/D konverterek) 9. Laboratóriumi gyakorlat Analóg-digitál átalakítók (A/D konverterek) 1. A gyakorlat célja: Bemutatjuk egy sorozatos közelítés elvén működő A/D átalakító tömbvázlatát és elvi kapcsolási rajzát. Tanulmányozzuk

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

LPT_4DM_2a. Bekötési utasítás

LPT_4DM_2a. Bekötési utasítás LPT_4DM_2a Bekötési utasítás Az LPT illesztőkártya a PC-n futó mozgásvezérlő program ki-, és bemenőjeleit illeszti a CNC gép és a PC printer csatlakozója között. Főbb jellemzők: 4 tengely STEP és DIR jelei

Részletesebben

Beachside FAMILY. Kombinált Infraszauna HASZNÁLATI ÚTMUTATÓ

Beachside FAMILY. Kombinált Infraszauna HASZNÁLATI ÚTMUTATÓ Beachside FAMILY Kombinált Infraszauna HASZNÁLATI ÚTMUTATÓ Beachside FAMILY Kombinált Infraszauna Méretei: 2000x1950x2100 2-4 személyes Candlenut diófa infraszauna Füstszínű üvegajtó Színterápiás világítás

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Mikroarchitektúra szint Feladata az ISA (Instruction Set Architecture gépi utasítás szint) megvalósítása. Nincs rá általánosan elfogadott, egységes elv. A ISA szintű utasítások függvények, ezeket egy főprogram

Részletesebben

IDAXA-PiroSTOP. BI12KR8 Optocsatolt bemeneti egység 12 bemenettel és Relés kimeneti egység 8 relével. Terméklap 2004/0177/073

IDAXA-PiroSTOP. BI12KR8 Optocsatolt bemeneti egység 12 bemenettel és Relés kimeneti egység 8 relével. Terméklap 2004/0177/073 IDAXA-PiroSTOP BI2KR8 bemeneti egység 2 bemenettel és Relés kimeneti egység 8 relével 24/77/73 Terméklap Hexium Kft. BI2KR8 Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5

Részletesebben

Informatika érettségi vizsga

Informatika érettségi vizsga Informatika 11/L/BJ Informatika érettségi vizsga ÍRÁSBELI GYAKORLATI VIZSGA (180 PERC - 120 PONT) SZÓBELI SZÓBELI VIZSGA (30 PERC FELKÉSZÜLÉS 10 PERC FELELET - 30 PONT) Szövegszerkesztés (40 pont) Prezentáció-készítés

Részletesebben

Dr. Schuster György október 14.

Dr. Schuster György október 14. Real-time operációs rendszerek RTOS 2011. október 14. A fordítás vázlata prog.c Előfeldolgozó Átmenti állomány Fordító prog.obj más.obj-tek könyvtárak indító kód Linker futtatható kód Ismétlés Előfeldolgozó

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

Laborsegédlet 3. Labor

Laborsegédlet 3. Labor 1/6. oldal Logisztikai rendszerek irányítás és automatizálás technikája I. CX-Programmer: 3. Labor A CX Programmer az OMRON PLC-k programozó szoftvere. Új program megnyitásának lépései: FILE NEW Device

Részletesebben

Adatfeldolgozó rendszer tervezése funkcionális elemekkel

Adatfeldolgozó rendszer tervezése funkcionális elemekkel Adatfeldolgozó rendszer tervezése funkcionális elemekkel F1. Tervezzünk egy adatbányász egységet, amely egy 256x8 bites ROM adattároló memóriában megkeresi a megadott keresési feltételnek megfelelő legelső

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés: a 2. alkalom

Részletesebben

AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul

AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul - Csatlakozás az AF 088II rendszer digitális buszra - Kódkapcsolóval beállitható egység cím0..f - 16 db kétállapotú bemenet (=24V DC) - Galvanikus leválasztás - 1.5 kv szigetelési feszültség - Túlfeszültség

Részletesebben

7.2.2. A TMS320C50 és TMS320C24x assembly programozására példák

7.2.2. A TMS320C50 és TMS320C24x assembly programozására példák 7.2.2. A TMS320C50 és TMS320C24x assembly programozására példák A TMS320C50 processzor Ez a DSP processzor az 1.3. fejezetben lett bemutatva. A TMS320C50 ##LINK: http://www.ti.com/product/tms320c50## egy

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS 2015. 09. 06. Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés:

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

PR402EN.doc. PR402 v1.0 Egyajtós beléptetõ rendszer FIRMWARE VERZIÓ 117.0. Telepítési útmutató

PR402EN.doc. PR402 v1.0 Egyajtós beléptetõ rendszer FIRMWARE VERZIÓ 117.0. Telepítési útmutató PR402 v1.0 Egyajtós beléptetõ rendszer FIRMWARE VERZIÓ 117.0 Telepítési útmutató 1 Általános leírás A PR402 vezérlõ beléptetõ és munkaidõ-nyilvántartó rendszerek számára készült. Beállítható mindkét funkció

Részletesebben