A számítógép alapfelépítése

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "A számítógép alapfelépítése"

Átírás

1 Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően egyformán működik: a CPU (Central Processing Unit = központi egység) - általában sorban egymás után - előveszi az utasításokat a program memóriából és végrehajtja azokat az utasítás végrehajtása alapvetően háromféle lehet: o az adatmemóriában lévő adatokat manipulálja o az utasítások végrehajtásának sorrendjét módosítja o adatot hoz be a környezetből, vagy adatot visz ki. A kétfajta elrendezés néhány tulajdonsága különbözik: Legfontosabb a Neumann János által megfogalmazott struktúrának az a tulajdonsága, hogy a program és az adatok ugyanabban a memóriában vannak, így a gép képes arra, hogy saját programját generálja, majd futtassa az első fázisban a program adat, melyet az akkor futó program, az úgynevezett fordító hoz létre, általában egy forrás szövegből, amit a programozó írt meg. Harvard struktúrában a program- és adatkimemória szervezése különböző lehet

2 Informatika alapjai-6 A számítógép felépítése 2/14 a Harvard struktúrából adódik egy gyorsítási lehetőség: mialatt egy utasítás végrehajtása folyik, elő lehet venni a következő utasítást. Ezt pipe-line szervezésnek nevezik. Megjegyezzük, hogy Neumann struktúrájú számítógépekben is sokféle működés gyorsító technikát alkalmaznak például pipe line-t is. A számítástechnikában mindkét féle elrendezésre számos példát találunk: Neumann struktúrájúak az úgynevezett univerzális számítógépek, például a PC amelyet közismerten alkalmaznak saját programjának fejlesztésére is. A mikrokontrollerek egy része például a AVR, PIC Harvard architektúrájúak. A további részek a MiniRISC_short jegyzetben folytatódnak. processzor felépítése működése, utasítás végrehajtás (FETCH, DECODE, EXECUTE) a konkrét utasítás készletet nem kell tudni, de az utasítás típusokat igen és példát is egy-egy típusra (adatmozgató, aritmetikai, logikai, shiftelő-rotáló, vezérlés átadó) az ismertetett címzési módokat ismerni kell, melyik mit jelent (immediate, direkt, regiszter, indirekt)

3 Mintaprogramok Két szám összeadása: Informatika alapjai-6 A számítógép felépítése 3/14 DEF GPIOA_DO 0xa0 DEF GPIOA_DIR 0xa2 ; adatregiszter címe ;irányregiszter címe CODE ; Programkód szegmens org 0x0; ; Kezdocím = 0 ;*********************************************** ;* A bemeneti adatok beolvasása * ;*********************************************** start: mov r0, #0x4a ;regiszterek feltöltése mov r1, #0x15 add r1, r0 ;összeadás mov r0, #GPIOA_DO mov (r0),r1 ;kiiírás a be/kimeneti periféria adat regiszterébe mov r0, #GPIOA_DIR mov r2, #0xff ;az irányregiszterbe 1-et kell írni a kimenetkén használandó bitekbe mov (r0),r2 loop: jmp loop ;a be/kimeneti periféria beállítása kimenetként, ;ekkor jelenik meg az adat a GPIOA 8 bites kimenetén ;végtelen ciklus (önmagára ugrás, a program demo, nincs több feladata)

4 Informatika alapjai-6 A számítógép felépítése 4/14 Memória másoló program: DEF mem1 0x00 DEF mem2 0x10 DEF len 0x08 ;másolandó terület címe ;célterület címe ;adatok száma CODE ORG 0x00 start: jsr mem_ini ;memória kezdeti feltöltése mov r0,#mem1 mov r1,#mem2 mov r2,#len loop: mov r3, (r0) ;adatmozgatás mov (r1),r3 add r0, #1 ;forrás cím növelés add r1, #1 ;cél cím növelés sub r2,#1 ;ciklus számláló csökkentés jnz loop ;vissza, amíg a ciklus számláló nem 0 end: jmp end ;memória inicializáló szubrutin mem_ini: mov r0,#mem1 mov r1,#mem2 mov r2,#len mov r3, #1 mov r4, #0 ini_loop: mov (r0), r3 ;mem1 feltöltése növekvő értékekkel add r0,#1 ;mem1 cím növelés add r3, #1 ;érték növelés mov (r1), r4 ;mem2 feltöltése 0-val add r1,#1 ;mem2 cím növelés sub r2, #1 ;ciklus számláló csökkentés jnz ini_loop ;vissza, amíg a ciklus számláló nem 0 rts ;visszatérés szubrutinból

5 Informatika alapjai-6 A számítógép felépítése 5/14 A perifériák adat RD WR CS cím belsõ buszra csatlakozás ADAT REGISZTER(EK) ÁLLAPOT REGISZTER PARANCS REGISZTER(EK) ÜZEMMÓD REGISZTER(EK) konkrét periféria funkciót megvalósító egység külvilághoz csatlakozó jelek Egy periféria 4 fajta regiszterrel rendelkezhet, melyek írása, olvasása a RAM-hoz hasonló vezérlő jelekkel történik. Üzemmód regiszter: A periféria működési módjának beállítására szolgál. Egy-egy periféria egy adott feladatcsoport megoldását teszi lehetővé konfigurálható üzemmódok segítségével (pl. egy timer egységgel időzítési, számlálási, impulzus generálási feladatok oldhatók meg). Parancs regiszter: A periféria valamely működését lehet vele kezdeményezni. Pl. A/D konverzió indítása. Sokszor az üzemmód és parancs reigsztert összevonják és vezérlő regiszternek nevezik. Állapot (státus) regiszter: A periféria állapotáról ad informáziókat. Az állapotregiszter bitjei ún. megszakítást is kérhetnek, ha az engedélyezett.

6 Informatika alapjai-6 A számítógép felépítése 6/14 Adat regiszter: Ide kell beírni itt lehet kiolvasni az adatot. Periféria kezelési módszerek A perifériák egy része jelzést ad, ha elkészült a feladattal (új adat keletkezett vagy új adat fogadására kész). A jelzés észrevételére és az adat kezelésére 3 féle alapmódszer létezik. Hogy ezek közül melyiket célszerű alkalmazni, az a periféria és a CPU relatív sebességétől függ. 3 kategóriába sorolhatjuk a perifériákat: a. A CPU sebességénél gyorsabb (bemeneti periféria esetén a CPU nem képes elvenni az adatokat olyan ütemben, amelyben azok termelődnek ill. kimeneti periféria esetén a CPU nem képes olyan ütemben termelni az adatokat, amely ütemben szükség lenne azokra.) b. A CPU képes az adatokat megfelelő sebességgel elvenni ill. termelni, de két adat elvétele ill. termelése között csak annyi idő van, hogy nem képes sok (pl. több mint 50) utasítás végrehajtására. c. A CPU képes az adatokat megfelelő sebességgel elvenni ill. termelni, sőt két adat elvétele ill. termelése között annyi idő van, hogy sok utasítást képes végrehajtani.

7 Informatika alapjai-6 A számítógép felépítése 7/14 DMA-s periféria kezelés d. Az a. esetben célhardver, DMA vezérlő szükséges a periféria kezelésére. e. Ez egy speciális periféria, amely ideiglenesen adatmozgatás céljából átveszi a buszmaster szerepét és a CPU megkerülésével képes elvégezni az adatmozgatást a periféria és a memória kijelölhető része között. f. Az egyszerű uc-kben ritka, nem foglalkozunk vele részletesen. DMC MEMÓRIA adat a DMC temporary regisztere PERIFÉRIA

8 Informatika alapjai-6 A számítógép felépítése 8/14 Programozott lekérdezéses periféria kezelés A b. esetben CPU a periféria státus regiszterét figyelve veheti észre, hogy a perifériának kiszolgálási igénye van. Mivel a periféria olyan sebességgel termeli az adatot, hogy a státus figyelő ciklusban nem tölt sok időt a CPU, így nem romlik a kihasználtsága. INDÍTÁS STÁTUS OLVASÁS READY? n ADATÁTVITEL i

9 Informatika alapjai-6 A számítógép felépítése 9/14 Interruptos periféria kezelés A c. esetben az a célszerű, ha a periféria aktívan jelzi a CPU-nek a kiszolgálási igényét, mert pl. programozott lekérdezésnél feleslegesen sok időt töltene a lekérdezési ciklusban. Ezt interrupt (IT) kéréssel teheti meg. Az IT hatása: A CPU a következő utasítás címét a stack-re menti. Többnyire letiltja a további IT-ket. Elugrik az IT rutin elejére. Végrehajtaja az IT rutin utasításait. Az IT rutin utolsó utasítása RETI, aminek hatására előveszi a stak-ről az oda mentett címet és ennek alapján visszaugrik a megszakított program következő utasítására. Az IT rutin feladata a periféria jelzés hatására az IT rutinban gyorsan elvégezni a feladatok időkritikus részét (pl. adat elvétele). A többi kapcsolódó (nem időkritikus) feladatot a főprogramra kell hagyni.

10 Informatika alapjai-6 A számítógép felépítése 10/14 Az IT rutin címéne meghatározása, IT rendszerek Egyszerű IT rendszer: Minden IT rutin ugyanott kezdődik. Az IT kérő periféria beazonosítása a státusregiszterek lekérdezésével történik ITi FUTÓ PROGRAM UTASÍTÁS i UTASÍTÁS i+1 közös IT rutin: REGISZTER MENTÉSEK STÁTUS 1 OLVASÁS READY 1? i i CALL Kiszolgáló rutin 1 STÁTUS i OLVASÁS READY i? i i CALL Kiszolgáló rutin i REGISZTER MENTÉSEK RETI

11 Informatika alapjai-6 A számítógép felépítése 11/14 MiniRISC_short jegyzetből: Perifériák közül basic IO (GPIO) regisztereinek funkciója: o programozható be/kimenet o irányregiszterrel állítható be a bitek iránya o kimeneti adatregiszterbe írandó a kimeneti adat (az irányt kimenetbe kell állítani, mert csak akkor jelenik meg az adatvezetékeken) o bemeneti adatregiszterből olvasható be a port adatvezetékein megjelenő logikai érték (bemenet esetén a kivülről rátett adat, kimenet esetén a kimeneti adatregiszter tartalma) USRT funkciója o kétirányú szinkron soros port o órajel ütemezi az adatátvitelt o a kimenet adatbiteket időben sorosan adja ki a kimenetén (TX), az órajellel szinkronban o a bemeneti adatbiteket időben sorosan veiszi a bemenetén (RX), az órajellel szinkronban o a státus regiszter jelzi, ha a bemeneti regiszterbe adat jött ill. ha a kimeneti regiszterben van hely újabb adatnak

12 Informatika alapjai-6 A számítógép felépítése 12/14 Mintaprogramok USRT periféria programozott kezelése és kimeneti port kezelés. A program programozott státus lekérdezéssel vár egy adat érkezésére a USRT-be, azt kiírja a LED kimetei port adatregiszterébe, és egy! karaktert küld a PC-nek. DEF UC 0x88 ; USRT kontroll regiszter (csak írható) DEF US 0x89 ; USRT FIFO státusz regiszter (csak olvasható) DEF UIE 0x8A ; USRT megszakítás eng. reg. (írható/olvasható) DEF UD 0x8B ; USRT adatregiszter (írható/olvasható) DEF LED 0x80 ; LED-ek (írható/olvasható) DEF UC_SET 0x0f DEF RXNE 0x04 DEF TXNF 0x02 ;FIFIO-k törlése, adás és vétel engedélyezés org 0x00 start: mov r0, #UC_SET mov UC, r0 loop: jsr Receive mov LED, r1 mov r1, #'!' jsr Send jmp loop ;FIFIO-k törlése, adás és vétel engedélyezés ;várakozás bejövő adatra ;adat kiírása a LED-ekre ;! karakter kiküldése az USRT-n a PC-nek ;egy karakter kiküldése az USRT-n ;bemenet r1, a küldendő adat Send: mov r0, US ;státus olvasás and r0, #TXNF ;adás pufferben van még hely tesztelése jz Send ;vissza, ha nincs hely mov UD, r1 ;karakter küldése, ha van hely rts Receive: mov r0, US and r0, #RXNE jz Receive mov r1, UD rts ;státus olvasás ;vételi pufferben van adat tesztelése ;vissza, ha nincs adat ;adat beolvasása, ha van adat

13 Informatika alapjai-6 A számítógép felépítése 13/14 USRT interruptos kezelése. A program nyomógomb megnyomásra vár és ekkor interruptos periféria kezeléssel kiírja az adatmemóriában eltárolt stringet az USRT segítségével a PC-be. DEF BT 0x84 ; Nyomógomb adatregiszter (csak olvasható) DEF BTIE 0x85 ; Nyomógomb megszakítás eng. regiszter (írható/olvasható) DEF BTIF 0x86 ; Nyomógomb megszakítás flag regiszter (olvasható és a bit 1 beírásával törölhető) DEF UC 0x88 ; USRT kontroll regiszter (csak írható) DEF US 0x89 ; USRT FIFO státusz regiszter (csak olvasható) DEF UIE 0x8A ; USRT megszakítás eng. reg. (írható/olvasható) DEF UD 0x8B ; USRT adatregiszter (írható/olvasható) DEF UC_SET 0x05 DEF TXNF 0x02 DEF BT0 0x01 DEF CR 0x0d DEF LF 0x0a ;kocsi vissza ;soremelés data string: db "Hello!",0x0d,0x0a,0 code org 0 jmp Start jmp IT Start: mov r0, #UC_SET mov UC, r0 sti mov r0, BT and r0, #BT0 Loop: jsr WaitBT0 mov r10, #string mov r13, #TXNF mov UIE, r0 jmp Loop WaitBT0: mov r1, BT and r1, #BT0 mov r2, r1 xor r0, #BT0 and r0, r1 mov r0, r2 jz WaitBT0 rts ;adat memória ;kód memória ;RESET belépési pont ;Interruptok belépési címe (egyszerű IT rendszer) ;minden IT-nek ugyanaz a belépési címe ;USRT adás FIFO törlése, adás engedélyezése ;IT engedélyezése a processzorban ;várakozás BT0 megnyomására ;string kezdőcíme az IT rutinnak ;USRT adás FIFO nincs tele IT engedélyezése ;várakozás BT0 megnyomására ;aktuális nyomógom értéke ;aktuális nyomógomb mentése ;régi nyomógomb invertálása ;lenyomás, ha régi nem lenyomott és új lenyomott ;régi = aktuális ;vissza, ha nem volt lenyomás ;visszatérés szubrutinból

14 Informatika alapjai-6 A számítógép felépítése 14/14 ;minden IT-ben kiírja az r10-ben levő címről a string következő karakterét ;amíg a 0x00-át el nem éri. Akkor letiltja saját adás IT-jét IT: mov r11, (r10) ;string aktuális karaktere add r10, #1 ;cím növelése (következő karakter címe) and r11, r11 jz IT_tilt ;ha az adat 0, akkor vége mov UD, r11 ;egyébként adat az USRT-be jmp IT_end IT_tilt: mov r12, #0x00 mov UIE, r12 ;adás IT- tiltása IT_end: rti ;visszatérés IT-ből

Egyszerű számítógép működése

Egyszerű számítógép működése gyszerű számítógép működése gy Neumann és egy Harvard arcitektúrájú számítógép egyszerűsített blokkvázlatát mutatják az alábbi ábrák. Neumann architektúra cím busz környezet CPU ROM RAM perifériák órajel

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 számítógép felépítése 1/8 számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: : Harvard struktúra : Neumann struktúra kétféle elrendezés alapvetően egyformán

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

1. ábra: Perifériára való írás idődiagramja

1. ábra: Perifériára való írás idődiagramja BELÉPTETŐ RENDSZER TERVEZÉSE A tárgy első részében tanult ismeretek részbeni összefoglalására tervezzük meg egy egyszerű mikroprocesszoros rendszer hardverét, és írjuk meg működtető szoftverét! A feladat

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Periféria kezelési módszerek programozott megszakításos MA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Programozott periféria kezelés Közvetlen szoftver ütemezés gyes perifériáknál nincs szükség

Részletesebben

A MiniRISC processzor (rövidített verzió)

A MiniRISC processzor (rövidített verzió) BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor (rövidített verzió) Fehér Béla, Raikovich Tamás,

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

Karakteres LCD kijelző használata MiniRISC processzoron

Karakteres LCD kijelző használata MiniRISC processzoron Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 3. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 INFORMATIKAI RENDSZEREK ALAPJAI (INFORMATIKA I.) 1 NEUMANN ARCHITEKTÚRÁJÚ GÉPEK MŰKÖDÉSE SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 Ebben a feladatban a következőket fogjuk áttekinteni: Neumann rendszerű számítógép

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017)

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztés mikroprocesszoros buszra (áramkörön belüli szinkron busz esetén) KÓDMEMÓIA

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

Assembly. Iványi Péter

Assembly. Iványi Péter Assembly Iványi Péter További Op. rsz. funkcionalitások PSP címének lekérdezése mov ah, 62h int 21h Eredmény: BX = PSP szegmens címe További Op. rsz. funkcionalitások Paraméterek kimásolása mov di, parameter

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Mérési utasítás Mikrokontroller programozás 2.sz. mérés

Mérési utasítás Mikrokontroller programozás 2.sz. mérés Mérési utasítás Mikrokontroller programozás 2.sz. mérés Szükséges ismeretanyag: - IBM PC kezelése, szövegszerkesztés, Double Commander - SB80C515 mikrokontroller felépítése, utasításai - HyperTerminál

Részletesebben

A mikroprocesszor egy RISC felépítésű (LOAD/STORE), Neumann architektúrájú 32 bites soft processzor, amelyet FPGA val valósítunk meg.

A mikroprocesszor egy RISC felépítésű (LOAD/STORE), Neumann architektúrájú 32 bites soft processzor, amelyet FPGA val valósítunk meg. Mikroprocesszor A mikroprocesszor egy RISC felépítésű (LOAD/STORE), Neumann architektúrájú 32 bites soft processzor, amelyet FPGA val valósítunk meg. A mikroprocesszor részei A mikroprocesszor a szokásos

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

Bevezetés a számítástechnikába

Bevezetés a számítástechnikába Bevezetés a számítástechnikába Megszakítások Fodor Attila Pannon Egyetem Műszaki Informatikai Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010. november 9. Bevezetés Megszakítások

Részletesebben

Perifériakezelési módszerek (Korrigált) Feltétel nélküli

Perifériakezelési módszerek (Korrigált) Feltétel nélküli INPUT-OUTPUT I-II. Tartalom INPUT-OUTPUT I-II.... 1 Perifériakezelési módszerek (Korrigált)... 2 A közvetlen memória hozzáférés (DMA)... 4 Feladatok:... 10 A megszakítás... 12 Az Intel 8259 IT vezérlő

Részletesebben

loop() Referencia: https://www.arduino.cc/en/reference/homepage

loop() Referencia: https://www.arduino.cc/en/reference/homepage Arduino alapok Sketch ~ Solution Forrás:.ino (1.0 előtt.pde).c,.cpp,.h Külső könyvtárak (legacy / 3rd party) Mintakódok (example) setup() Induláskor fut le, kezdeti értékeket állít be, inicializálja a

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport Beugró kérdések: 1. USART jelalak (TdX) felrajzolása adott paritás és adott számú STOP bit mellett egy kétjegyű hexa szám átvitelére. 2. RST7.5, TRAP és INT megszakítási bemenetek összehasonlítása tilthatóság

Részletesebben

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás ATmega128 CPU Single-level pipelining Egyciklusú ALU működés Reg. reg., reg. konst. közötti műveletek 32 x 8 bit általános célú regiszter Egyciklusú

Részletesebben

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A mikroprocesszoros rendszerek

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A megszakításrendszer A

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Whead 3.0. Szélsebesség és széliránymérő illesztő távadó. Előzetes

Whead 3.0. Szélsebesség és széliránymérő illesztő távadó. Előzetes Whead 3.0 Szélsebesség és széliránymérő illesztő távadó Előzetes UNITEK 2006-2013 Whead Szélsebesség és széliránymérő illesztő távadó 2 Unitek Whead Szélsebesség és széliránymérő távadó Általános leírás

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika VIMIAA02 9. hét

Digitális technika VIMIAA02 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások Mechatronika és mikroszámítógépek 2018/2019 I. félév Külső megszakítások Megszakítás, Interrupt A megszakítás egy olyan esemény, vagy feltétel teljesülése, amely felfüggeszti a program futását, a vezérlést

Részletesebben

Programozott soros szinkron adatátvitel

Programozott soros szinkron adatátvitel Programozott soros szinkron adatátvitel 1. Feladat Név:... Irjon programot, mely a P1.0 kimenet egy lefutó élének időpontjában a P1.1 kimeneten egy adatbitet ad ki. A bájt legalacsonyabb helyiértéke 1.

Részletesebben

Az vevő- és vezérlőáramkör programja

Az vevő- és vezérlőáramkör programja Az vevő- és vezérlőáramkör programja Központizár-vezérlés - IR vevő- és vezérlőáramkör INCLUDE 89C2051.mc ******************************************************************************** VÁLTOZÓK ********************************************************************************

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében SR mini PLC Modbus illesztő modul Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében Kimeneti pontok címkiosztása főmodul esetében, olvasásra

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS 2015. 09. 06. Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés:

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

OPERÁCIÓS RENDSZEREK. Elmélet

OPERÁCIÓS RENDSZEREK. Elmélet 1. OPERÁCIÓS RENDSZEREK Elmélet BEVEZETÉS 2 Az operációs rendszer fogalma Az operációs rendszerek feladatai Csoportosítás BEVEZETÉS 1. A tantárgy tananyag tartalma 2. Operációs rendszerek régen és most

Részletesebben

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor Ismerkedjünk tovább a számítógéppel Alaplap és a processzeor Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív

Részletesebben

Balaton Marcell Balázs. Assembly jegyzet. Az Assembly egy alacsony szintű nyelv, mely a gépi kódú programozás egyszerűsítésére született.

Balaton Marcell Balázs. Assembly jegyzet. Az Assembly egy alacsony szintű nyelv, mely a gépi kódú programozás egyszerűsítésére született. Balaton Marcell Balázs Assembly jegyzet Az Assembly egy alacsony szintű nyelv, mely a gépi kódú programozás egyszerűsítésére született. 1. Regiszterek Regiszterek fajtái a. Szegmensregiszterek cs (code):

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A kommunikációs technológiák

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A kommunikációs technológiák

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... NÉV:... neptun kód:.. feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a név és aláírás rovatokkal kezdje! z alábbi kérdésekre

Részletesebben

assume CS:Code, DS:Data, SS:Stack Start: xor di, di mov si, 1 Torles int 10h mov dl, 40 xor bh, bh mov ah, 02h Kesleltet int 16h

assume CS:Code, DS:Data, SS:Stack Start: xor di, di mov si, 1 Torles int 10h mov dl, 40 xor bh, bh mov ah, 02h Kesleltet int 16h Fealadat3: labda.asm Feladat meghatározása A program célja az assembly rutinok időzítési lehetőségeinek bemutatása. Az időzítés az AH00, INT1Ah funkció segítségével történik. A program egy labda leesését

Részletesebben

Az MSP430 mikrovezérlők digitális I/O programozása

Az MSP430 mikrovezérlők digitális I/O programozása 10.2.1. Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés: a 2. alkalom

Részletesebben

Az AVR ATmega128 mikrokontroller

Az AVR ATmega128 mikrokontroller Az AVR ATmega128 mikrokontroller Rövid leírás Ez a leírás a Mérés labor II. tárgy első mikrokontrolleres témájú mérési gyakorlatához készült. Csak annyit tartalmaz általánosan az IC-ről, ami szerintünk

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése MicroBlaze processzor Fehér Béla Raikovich Tamás

Részletesebben

Silabs STK3700, Simplicity Studio laborgyakorlat

Silabs STK3700, Simplicity Studio laborgyakorlat Silabs STK3700, Simplicity Studio laborgyakorlat Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 Saját Firmware library Saját

Részletesebben

1 done by: b+bme. Adja meg, hogy milyen ICW3 parancsot kell küldeni a MASTER illetve a SLAVE egységeknek!

1 done by: b+bme. Adja meg, hogy milyen ICW3 parancsot kell küldeni a MASTER illetve a SLAVE egységeknek! 1 done by: b+bme 6 a.) Egy kaszkádosított megszakításkezelő rendszerben, milyen esetben kell parancsbyte-ban megadni a SLAVE megszakításkezelőknek, hogy slave áramkörök? - kaszkádosítás esetén, illetve

Részletesebben

Adatok ábrázolása, adattípusok

Adatok ábrázolása, adattípusok Adatok ábrázolása, adattípusok Összefoglalás Adatok ábrázolása, adattípusok Számítógépes rendszerek működés: információfeldolgozás IPO: input-process-output modell információ tárolása adatok formájában

Részletesebben

BELÉPTETŐ RENDSZER TERVEZÉSE

BELÉPTETŐ RENDSZER TERVEZÉSE BELÉPTETŐ RENDSZER TERVEZÉSE Számítógép-architektúrák 1. gyakorlat 2011. szeptember 21., Budapest Dr. Lencse Gábor tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.hu Beléptető rendszer

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

Labor 2 Mikrovezérlők

Labor 2 Mikrovezérlők Labor 2 Mikrovezérlők ATMEL AVR - ARDUINO BUDAI TAMÁS 2015. 09. 06. Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben

A 32 bites x86-os architektúra regiszterei

A 32 bites x86-os architektúra regiszterei Memória címzési módok Jelen nayagrészben az Intel x86-os architektúrára alapuló 32 bites processzorok programozását tekintjük. Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről)

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 8

Digitális technika (VIMIAA01) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka MPLAB IDE - SIM - - Rövid ismertető a használathoz - 3E22 89/2004 2006. November 14 Szabadka - 2 - Tartalomjegyzék TARTALOMJEGYZÉK 3 SIMULATOR I/O 4 SIMULATOR STIMULUS 4 STIMULUS VEZÉRLŐ (CONTROLLER) 5

Részletesebben

Billentyűzet. Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó),

Billentyűzet. Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó), Billentyűzet Általános billentyűzet Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó), 6 pólusú mini-din (PS/2 billentyűzet csatlakozó).

Részletesebben

találhatók. A memória-szervezési modell mondja meg azt, hogy miként

találhatók. A memória-szervezési modell mondja meg azt, hogy miként Memória címzési módok Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről) a program utasításai illetve egy utasítás argumentumai a memóriában találhatók. A memória-szervezési

Részletesebben

Az integrált áramkörök kimenetének kialakítása

Az integrált áramkörök kimenetének kialakítása 1 Az integrált áramörö imeneténe ialaítása totem-pole three-state open-olletor Az áramörö általános leegyszerűsített imeneti foozata: + tápfeszültség R1 V1 K1 imenet V2 K2 U i, I i R2 ahol R1>>R2, és K1,

Részletesebben

Digitális rendszerek. Utasításarchitektúra szintje

Digitális rendszerek. Utasításarchitektúra szintje Digitális rendszerek Utasításarchitektúra szintje Utasításarchitektúra Jellemzők Mikroarchitektúra és az operációs rendszer közötti réteg Eredetileg ez jelent meg először Sokszor az assembly nyelvvel keverik

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Saját IP készítése, periféria illesztés Fehér

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 8

Digitális technika (VIMIAA02) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben