Digitális technika (VIMIAA01) Laboratórium 8

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Digitális technika (VIMIAA01) Laboratórium 8"

Átírás

1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 8 Fehér Béla Raikovich Tamás, Fejér Attila Digit labor 7., (v1.0) BME MIT

2 Lab8: A MiniRISC processzor 1. A MiniRISC processzor felépítése Adatstruktúra Vezérlőegység 2. Fejlesztői környezet A MiniRISC assembler A MiniRISC IDE Szoftverfejlesztés (példákkal) MiniRISC processzor, (v1.2) 1

3 Lab8: A MiniRISC processzor 8 bites vezérlőegység egyszerű alkalmazásokhoz Jól illeszkedik a LOGSYS Spartan-3E FPGA kártya komplexitásához Egyszerű felépítés, kis erőforrásigény Harvard architektúra 256 x 16 bites programmemória 256 x 8 bites adatmemória Egyszerű RISC jellegű utasításkészlet Load/store architektúra Műveletvégzés csak regisztereken 16 x 8 bites belső regisztertömb MiniRISC processzor, (v1.2) 2

4 Lab8: MiniRISC processzor Egyszerű RISC jellegű utasításkészlet Adatmozgató utasítások Aritmetikai utasítások (+, -, összehasonlítás) Logikai utasítások (AND, OR, XOR, bittesztelés) Léptetési, forgatási és csere utasítások Programvezérlési utasítások Operandusok: két regiszter vagy regiszter és 8 bites konstans Abszolút és regiszter indirekt címzési módok Zero (Z), carry (C), negative (N), overflow (V) feltételbitek Feltételes ugró utasítások a teszteléshez Szubrutinhívás 16 szintű hardveres verem használatával Programelágazás a teljes címtartományban Egyszintű, egyszerű megszakításkezelés MiniRISC processzor, (v1.2) 3

5 Lab8: A MiniRISC processzor Felépítése követi az adatstruktúra-vezérlő szemléletet Vezérlő: az utasítások beolvasása, feldolgozása és ennek megfelelően az adatstruktúra vezérlése Adatstruktúra: műveletek végrehajtása az adatokon Programmemória Adatmemória ADDR DOUT RD WR ADDR DIN DOUT Vezérlőegység MiniRISC processzor, (v1.2) Vezérlő jelek Feltétel jelek 4 Adatstruktúra MiniRISC processzor

6 Lab8: A MiniRISC processzor blokkvázlata A részletes felépítést lásd a Verilog forráskódban Programmemória Adatmemória ADDR DOUT DIN DOUT RD WR ADDR IRQ Debug modul PC Számláló 0x00 0x01 ugrási cím Init Break Vezérlő állapotgép Vezérlőegység MiniRISC processzor, (v1.2) Verem Z,C,N,V Fetch Decode Execute Int_req Z,C,N,V IE bit IF bit 5 IR vezérlő jelek feltétel jelek MiniRISC processzor 8 bites konstans ugrási cím MUX1 WrX Regisztertömb RdX RdY OP1 Adatstruktúra ALU MUX2 OP2

7 Lab8: MiniRISC processzor 1. A MiniRISC processzor felépítése Adatstruktúra Vezérlőegység 2. Fejlesztői környezet A MiniRISC assembler A MiniRISC IDE Szoftverfejlesztés (példákkal) MiniRISC processzor, (v1.2) 6

8 Lab8: A MiniRISC IDE és assembler A LOGSYS Spartan-3E FPGA kártyához készült MiniRISC mintarendszerre történő programfejlesztéshez egy grafikus fejlesztői környezet áll rendelkezésre (MiniRISC IDE) Az assembly nyelven megírt programok lefordítása a LOGSYS MiniRISC assemblerrel lehetséges A.NET keretrendszer 4.0 verziója szükséges a futtatáshoz (Windows 7-től az operációs rendszer része) Az assembler a processzorhoz illesztett képességű Egyszerű utasítás értelmezés Abszolút kódot generál (nincs linker) Nincs makrózás Nincs cím aritmetika Nincs feltételes fordítás Hiba esetén hibaüzenettel leáll MiniRISC processzor, (v1.2) 7

9 Lab8: A MiniRISC assembler használata Futtatása parancssorból: MiniRISCv2-as filename.s A filename.s assembly forrásfájlt beolvassa és ha nincs benne hiba, lefordítja és generálja a következő fájlokat filename.lst code.hex data.hex filename.svf filename.dbgdat assembler listafájl címekkel, címkékkel, azonosítókkal, utasításkódokkal szöveges fájl a Verilog kódból történő programmemória inicializáláshoz szöveges fájl a Verilog kódból történő adatmemória inicializáláshoz a LOGSYS GUI-val letölthető, a program- és adatmemória tartalmát inicializáló SVF fájl a debug információkat tartalmazó fájl Az esetleges hibaüzenetek a konzolon jelennek meg A MiniRISC assembler a MiniRISC IDE integrált részét képezi, a parancssorból való futtatása ezért nem gyakori MiniRISC processzor, (v1.2) 8

10 Lab8: A MiniRISC assembler használata Forrásfájl: egyszerű szövegfájl.s kiterjesztéssel A feldolgozás soronként történik: 1 sorban 1 utasítás Az assembly forráskód sorok formátuma LABEL: MNEMONIC OP1{, OP2} ; Comment LABEL Azonosító, értéke az azt követő utasítás címe MNEMONIC A végrehajtandó műveletre utaló mnemonik, pl. ADD összeadás, JMP ugrás, stb. OP1{, OP2} A művelet operandusai, OP2 nincs mindig (OP1 sincs az RTS, RTI, STI és CLI utasítások esetén) ; Comment A ; karakter a megjegyzés kezdete, melyet az assembler figyelmen kívül hagy Javaslat Minden utasításhoz írjunk megjegyzéseket A formázáshoz használjuk a TAB karaktert MiniRISC processzor, (v1.2) 9

11 Lab8: A MiniRISC assembler használata Kevés szabály van Az utasítások operandusai lehetnek Regiszter r0 r15 Konstans #0 #255 (ALU műveletekhez) Memóriacím (ez is konstans, csak más célra) Indirekt cím (r0) (r15) Numerikus konstans Nincs prefix decimális x prefix hexadecimális 0x00 0xFF 0b prefix bináris 0b b Karakter konstans A jelek közötti karakter (pl. # A értéke 65) Escape szekvenciák: \, \, \\, \a, \b, \f, \n, \r, \t, \v String konstans A jelek közötti karakterfüzér (pl. MiniRISC\r\n ) Csak az adat szekcióban használható MiniRISC processzor, (v1.2) 10

12 Lab8: A MiniRISC assembler használata Assembler direktívák DEF: azonosítót rendel konstanshoz DEF SW 0x81 ;A DIP kapcsoló periféria címe Ez nem CPU utasítás, hanem az assembler számára definiál egy helyettesítési szabályt, ami a felhasználó számára biztosítja a forráskód jobb olvashatóságát CODE: a kód szekció kezdetét jelzi Az utána lévő forráskód részből generált kód a prg. memóriába kerül DATA: az adat szekció kezdetét jelzi Az utána lévő forráskód részből generált kód az adatmemóriába kerül Az adat szekcióban csak címkék és DB direktívák lehetnek DB: az adatmemória inicializálása konstansokkal DB MiniRISC.\r\n, 0 ;Nulla végű string Csak az adat szekcióban lehet használni Numerikus, karakter és string konstansok adhatók meg utána Az egyes konstansokat vesszővel kell elválasztani egymástól MiniRISC processzor, (v1.2) 11

13 Lab8: A MiniRISC assembler használata Assembler direktívák ORG: kezdőcím közvetlen előírása ORG memóriacím Az utána lévő kódrész kezdőcímét állítja be Használható a kód és az adat szekcióban is Az assembler által generált LST fájlban ellenőrizhető az utasítások címe és gépi kódja, valamint a fordító által használt azonosítók értelmezése Ha a kód hibátlanul lefordult, akkor beépíthető a hardver tervbe, mint memória inicializáló adat (.HEX kimeneti fájlok) vagy letölthető a kész konfigurációra (.SVF kimeneti fájl) MiniRISC processzor, (v1.2) 12

14 Lab8: A MiniRISC IDE Futtatás: - Szimulátorban - Hardveren Fordítás és letöltés Végrehajtás vezérlése Forráskód szerkesztő Assembler konzol Adatmemória tartalma MiniRISC processzor, (v1.2) USRT terminál Kijelző vezérlőpanel Periféria vezérlőpanel: - LED-ek, DIP kapcsoló - Nyomógombok CPU állapot: - PC, flag-ek, verem teteje, regiszterek tartalma - Végrehajtott utasítások száma - Elfogadott megszakításkérések száma 13 GPIO vezérlőpanel

15 Lab8: A MiniRISC IDE A forráskód szerkesztő szolgáltatásai: Szintaxis kiemelés A hibás programsorok aláhúzása, illetve a hibaüzenet megjelenítése, ha az egérkurzor a hibás sorra mutat Lefordított program esetén az azonosítók értékének megjelenítése, ha az egérkurzor az azonosítóra mutat Letöltött program esetén A regiszter értékének megjelenítése, ha az egérkurzor a regiszterre mutat Indirekt címzésnél a cím és a memóriában tárolt adat megjelenítése, ha az egérkurzor a regiszterre mutat MiniRISC processzor, (v1.2) 14

16 Lab8: A MiniRISC IDE A legördülő menüből kiválasztható, hogy a program A szimulátorban fusson (ez mindig rendelkezésre áll) A csatlakoztatott hardveren fusson (LDCxxx letöltőkábel) Választás csak akkor lehetséges, ha program nem fut Szimulátor Órajelciklus pontossággal szimulálja az FPGA áramkörben megvalósított processzoros rendszert A program végrehajtása itt lassabb, mint a hardveren Kb utasítás/s kb. 1,2 MHz rendszerórajel frekvencia A MiniRISC mintarendszerben lévő perifériák nagy része a szimulátorban is elérhető (ami nincs: DMA, VGA, PS/2) Futtatás hardveren Ha van FPGA kártya csatlakoztatva a számítógéphez MiniRISC processzor, (v1.2) 15

17 Lab8: A MiniRISC IDE A program a Compile (, F5) gombbal fordítható le A hibaüzenetek a konzolban jelennek meg A hibás programsorok pirossal aláhúzásra kerülnek A lefordult program a Download (, F6) gombbal tölthető le Hardveren történő futtatás esetén ha még nincs felkonfigurálva az FPGA, akkor először ez történik meg A program futása megáll a 0x00 címen (reset vektor) A program végrehajtásának vezérlése Run (, F7): a program végrehajtásának folytatása Break (, F8): a program futásának felfüggesztése, a következő végrehajtandó utasítást sárga kiemelés jelzi Step (, F10): az aktuális utasítás végrehajtása, a program futása megáll a következő utasításnál MiniRISC processzor, (v1.2) 16

18 Lab8: A MiniRISC IDE A program végrehajtásának vezérlése Auto step ( ): a Step parancs automatikus kiadása A gyakoriság a Debug menüben állítható be Az automatikus léptetés a Break paranccsal állítható le Reset (, F9): reset jel kiadása a processzoros rendszernek Stop ( ): a program futtatásának befejezése Ezután ismételt programletöltés szükséges Töréspont elhelyezése a szerkesztőben a margóra történő kattintással lehetséges A töréspontot piros kör jelzi a margón Töréspontra futáskor a program végrehajtása megáll Lényegében egy Break parancs kiadása történik meg hardveresen MiniRISC processzor, (v1.2) 17

19 Lab8: A MiniRISC IDE A processzor állapotának és az adatmemória tartalmának módosítása, illetve a perifériák vezérlése csak felfüggesztett programvégrehajtás (break állapot) esetén lehetséges Processor state panel: a processzor állapota Programszámláló (PC) értéke Flag-ek (Z, C N, V, IE, IF) értéke (IF csak olv.) Verem tetejének értéke (csak olvasható) Regiszterek értéke A végrehajtott utasítások száma Az elfogadott megszakításkérések száma Alap perifériák vezérlőpanelje A LED-ek, a kapcsolók és a nyomógombok állapotát jeleníti meg Biztosítja a perifériák regiszterszintű vezérlését MiniRISC processzor, (v1.2) 18

20 Lab8: A MiniRISC IDE Memory ablak A 128 x 8 bites adatmemória tartalmát jeleníti meg Az egyes adatbájtokra kattintva azok módosíthatók A tartalom fájlból betölthető (Send file gomb), illetve fájlba elmenthető (Save to file gomb) Display ablak A hétszegmenses és a pontmátrix kijelzők vezérlését biztosítja A kijelzők szegmenseire kattintva azok ki- és bekapcsolhatók A szövegdobozokban megadható az egyes szegmensek értéke, illetve a megjelenítendő karakter MiniRISC processzor, (v1.2) 19

21 Lab8: A MiniRISC IDE USRT terminal ablak Soros kommunikációs lehetőséget biztosít A leütött karakterek elküldésre kerülnek, a vett karakterek megjelennek a terminál ablakban Lehetőség van fájl elküldésére és a vett adat fájlba mentésére is GPIO ablak A GPIO perifériák állapotát jeleníti meg és ezek regiszterszintű vezérlésére szolgál (kimeneti adat, aktuális állapot, irány) Ábra az FPGA kártya bővítőcsatlakozóinak lábkiosztásáról MiniRISC processzor, (v1.2) 20

22 Lab8: A programfejlesztés lépései Gondoljuk át a problémát és készítsünk vázlatos elképzelést, hogy mit és hogyan szeretnénk megoldani Fogalmazzuk meg a megoldást a MiniRISC assembly utasítások szintjén és készítsük el a forráskódot A begépelt programot fordítsuk le a Compile (F5) paranccsal Az esetleges hibákat javítsuk ki A hibátlanul lefordult programból generált SVF fájl letölthető a Download (F6) paranccsal Ellenőrizzük a program működését debug módban a MiniRISC IDE szolgáltatásainak segítségével MiniRISC processzor, (v1.2) 21

23 Lab8_1 feladat: Bevezető feladatok A MiniRISC processor programozásának gyakorlásához a félév első heti egyszerű Verilog HDL alapú bevezető feladatokat ismételjük meg gépi szintű programozással. A feladatok így ismertek, csak egy kicsit más szemlélet szükséges a realizációhoz Sok esetben az új megközelítés sokkal egyszerűbb, sok esetben itt ütközünk nehézségekbe Sok sikert a gépi szintű programozáshoz! MiniRISC processzor, (v1.2) 22

24 Lab8_1 feladat: Bevezető feladatok Lab8_1_x feladatok: Az SW DIP kapcsolókon beállított érték beolvasása (feldolgozása) és kiírása az LD LED-ekre A MiniRISC rendszernek perifériája a LD és SW eszköz, a dokumentációban adott funkcionalitással Az LD periféria címe 0x80, a 8 bites kimeneti regiszter írható, és visszaolvasható (ez sokszor praktikus, bár itt most nem használjuk ki) Az SW periféria címe a 0x81, a 8 bites bemeneti regiszter csak olvasható A programok : Egyszerű, végtelen ciklusban beolvassuk a kapcsolók állapotát, SW (0x81) (feldolgozzuk), és kiírjuk a LED-ekre LD (0x80) A programok kezdődhetnek a 0x00 címen (reset vektor) MiniRISC processzor, (v1.2) 23

25 Lab8_1 feladat: Bevezető feladatok Szükséges adminisztrációs műveletek: Adatmozgatások és végtelen ciklus szervezése feltétel nélküli ugrással Funkcionális műveletek: az aktuális feladattól függően Indítsuk el a a MiniRISC IDE fejlesztői környezetet A Lab8_1.s új forrás létrehozásakor az IDE rákérdez a használni kívánt perifériákra. A párbeszédablakban felsorolja az összes rendszerperifériát, amiből a jelölő négyzetek kiválasztásával a perifériaregiszterek neveinek deklarálása automatikusan része lesz a forráskódnak. MiniRISC processzor, (v1.2) 24

26 Lab8_1 feladat: Bevezető feladatok A LD és SW perifériák kiválasztásával a szükséges regiszter deklarációk megjelennek a szerkesztőablakban. A MiniRISC IDE két üzemmódban használható: Ha nincs LOGSYS kártya csatlakoztatva, akkor a programfejlesztés csak szimulátor módban lehetséges: Ha van LOGSYS kártya is csatlakoztatva, akkor a programfejlesztés akár szimulátor módban, akár közvetlen valódi hardver programteszteléssel is lehetséges. A legördülő menü alapján a kívánt mód választható: MiniRISC processzor, (v1.2) 25

27 Lab8_1 feladat: Bevezető feladatok A feladatok megoldását jelentő utasítássorozatok a szerkesztőablakba begépelhetők. Lab8_1_1: SW átmásolása LD-re Lab8_1_2: SW kettes kompl. másolása LD-re Lab8_1_3: SW 8 bitjén adott két 4 bites érték összegének kijelzése a LD-re. Milyen megoldási lehetőségeket érdemes megvizsgálni? Az elkészített forrásokat fordítsuk le, ellenőrizzük lépésenkénti végrehajtással, majd a LOGSYS kártyát csatlakoztatva és kiválasztva, valós idejű futtatást is alkalmazva A tapasztalatokat jegyezzük fel a Lab8_Eredmények kérdőíven. MiniRISC processzor, (v1.2) 26

28 Lab8_2 feladatok A labor további idejében a következő feladatok közül válasszon és készítse el a hozzá tartozó assembly programot: Lab8_2_1: Ellenőrző összeg generálása memóriában lévő adatvektorra Lab8_2_2: MAX/MIN elem keresése adatvektorban Lab8_2_3: Előjeles adatvektor elemei összegének meghatározása Lab8_2_4: Előjeles adatsorozat 4 pontos átlagoló szűrése Lab8_2_5: Előjeles adatsorozat 3 pontos medián szűrése Lab8_2_6: Fibonacci MiniRISC processzor, (v1.2) 27

29 Lab8_2_1 feladat Lab8_2_1: Ellenőrző összeg generálása memóriában lévő adatvektorra (pl. NEPTUN kód), és ellenőrzése (vétel). A távolsági adatátvitelek során az ellenőrző összeg generálása a forrásoldalon az adatvektor elemeinek modulo 256-os összeadásával generálható, és a végösszeg kettes komplemensét az üzenethez fűzzük. A távoli helyen a vételnél ugyanezt az összegzés műveletet végezzük el, az ellenőrzőösszeget is hozzáadva. Amennyiben az ellenőrzés eredménye nulla, az adás-vétel hibátlan volt. MiniRISC processzor, (v1.2) 28

30 Lab8_2_2 feladat Lab8_2_2: A memóriában található egy előjel nélküli / előjeles adatsorozat. Kezdőcíme: r0-ban, mérete (<50) r1-ben. A feladat az adatsorozat MAX és MIN értékeinek megtalálása, és ezen értékek és pozíciójuk tárolása r2/r3 és r4/r5 regiszterpárokban. MiniRISC processzor, (v1.2) 29

31 Lab8_2_3 feladat Lab8_2_3: A memóriában található egy előjeles adatsorozat. Kezdőcíme: r0-ban, mérete (<50) r1- ben. A feladat az adatsorozat elemei összegének kiszámítása és eltárolása az r2/r3 regiszterpárban. MiniRISC processzor, (v1.2) 30

32 Lab8_2_4 feladat Lab8_2_4: A memóriában található egy előjeles adatsorozat. Kezdőcíme: r0-ban, mérete (<50) r1- ben. A feladat az adatsorozat elemeinek simítása, lineáris szűrése, 4 pontos mozgó átlagolást használva (Gyak. 5. F2 feladat szoftver verziója): y(t) = 1/4*(x(t-3) + x(t-2) + x(t-1) + x(t)) Az algoritmus az eredményeket az eredeti adatpontok után, egy új memória területre mentse el (pl. a 128 bájtos adatmemória felső felébe) MiniRISC processzor, (v1.2) 31

33 Lab8_2_5 feladat Lab8_2_5: A memóriában található egy előjeles adatsorozat. Kezdőcíme: r0-ban, mérete (<50) r1- ben. A feladat az adatsorozat elemeinek simítása, nemlineáris szűrése, 3 pontos medián szűrést végezve (Gyak. 7. F2.c feladat, (MIN,MED,MAX)): y(t-1) = MED(x(t-2) + x(t-1) + x(t)) Az algoritmus az eredményeket az eredeti adatpontok után, egy új memória területre mentse el (pl. a 128 bájtos adatmemória felső felébe) MiniRISC processzor, (v1.2) 32

34 Lab8_2_6 feladat Lab8_2_6: Készítse el a Fibonacci sorozat generáló programot, 16 bites bináris aritmetikát használva. Az értékeket a memóriába írja be, 16 bites formátumban, amíg lehetséges. Milyen számformátum esetén tudja a legtöbb generált elemet elhelyezni a 128 bájtos adatmemóriában? MiniRISC processzor, (v1.2) 33

35 Lab8_2 feladatok A megfelelő feladat kiválasztása és elkészítése után válaszoljon a Labor 8 Eredmények kérdőív kérdéseire. MiniRISC processzor, (v1.2) 34

Digitális technika (VIMIAA02) Laboratórium 8

Digitális technika (VIMIAA02) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA02 9. hét

Digitális technika VIMIAA02 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

A MiniRISC processzor (rövidített verzió)

A MiniRISC processzor (rövidített verzió) BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor (rövidített verzió) Fehér Béla, Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán

A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán Fehér Béla BME MIT atórium

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 3. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK VIMIAA02 14. hét Fehér Béla BME MIT Rövid visszatekintés, összefoglaló

Részletesebben

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 INFORMATIKAI RENDSZEREK ALAPJAI (INFORMATIKA I.) 1 NEUMANN ARCHITEKTÚRÁJÚ GÉPEK MŰKÖDÉSE SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 Ebben a feladatban a következőket fogjuk áttekinteni: Neumann rendszerű számítógép

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 14. hét Fehér Béla BME MIT Digitális technika

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Adatok ábrázolása, adattípusok

Adatok ábrázolása, adattípusok Adatok ábrázolása, adattípusok Összefoglalás Adatok ábrázolása, adattípusok Számítógépes rendszerek működés: információfeldolgozás IPO: input-process-output modell információ tárolása adatok formájában

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

Programozott soros szinkron adatátvitel

Programozott soros szinkron adatátvitel Programozott soros szinkron adatátvitel 1. Feladat Név:... Irjon programot, mely a P1.0 kimenet egy lefutó élének időpontjában a P1.1 kimeneten egy adatbitet ad ki. A bájt legalacsonyabb helyiértéke 1.

Részletesebben

Digitális rendszerek. Utasításarchitektúra szintje

Digitális rendszerek. Utasításarchitektúra szintje Digitális rendszerek Utasításarchitektúra szintje Utasításarchitektúra Jellemzők Mikroarchitektúra és az operációs rendszer közötti réteg Eredetileg ez jelent meg először Sokszor az assembly nyelvvel keverik

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 2

Digitális technika (VIMIAA02) Laboratórium 2 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 2 Fehér Béla Raikovich Tamás,

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

Aritmetikai utasítások I.

Aritmetikai utasítások I. Aritmetikai utasítások I. Az értékadó és aritmetikai utasítások során a címzési módok különböző típusaira látunk példákat. A 8086/8088-as mikroprocesszor memóriája és regiszterei a little endian tárolást

Részletesebben

Digitális technika HF2 Elkészítési segédlet Gépi szintű programozás

Digitális technika HF2 Elkészítési segédlet Gépi szintű programozás Digitális technika HF2 Elkészítési segédlet Gépi szintű programozás A programozási feladat egy adott probléma 3 féle megoldásának elkészítése. Mindegyik program lehet egyetlen közös forrásfájlban, a megoldás

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technika (VIMIAA01) Laboratórium 2 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 2 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technika (VIMIAA01) Laboratórium 2 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 2 Fehér Béla Raikovich Tamás,

Részletesebben

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás ATmega128 CPU Single-level pipelining Egyciklusú ALU működés Reg. reg., reg. konst. közötti műveletek 32 x 8 bit általános célú regiszter Egyciklusú

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 4. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK 1 Az adatok feldolgozását végezhetjük olyan általános rendeltetésű digitális eszközökkel, amelyeket megfelelő szoftverrel (programmal) vezérelünk. A mai digitális

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

A LOGSYS rendszer ismertetése

A LOGSYS rendszer ismertetése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS rendszer ismertetése Raikovich Tamás BME MIT atórium A LOGSYS

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Egyszerű számítógép működése

Egyszerű számítógép működése gyszerű számítógép működése gy Neumann és egy Harvard arcitektúrájú számítógép egyszerűsített blokkvázlatát mutatják az alábbi ábrák. Neumann architektúra cím busz környezet CPU ROM RAM perifériák órajel

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

Elemi alkalmazások fejlesztése I.

Elemi alkalmazások fejlesztése I. Steingart Ferenc el adása alapján készítette: Szabóné Nacsa Rozália Integrált fejleszt környezet Linux MS Win* www.kdevelop.org www.bloodshed.net Bevezetés 1 A kdevelop f ablaka Editor és böngész Projektszerkezet

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350 Mérési feladatok az 1., 2. és 3. mérési alkalomhoz A mérés tárgya: FPGA áramkörök és tervezési rendszereik megismerése A mérések során egy egyszerű

Részletesebben

A 32 bites x86-os architektúra regiszterei

A 32 bites x86-os architektúra regiszterei Memória címzési módok Jelen nayagrészben az Intel x86-os architektúrára alapuló 32 bites processzorok programozását tekintjük. Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről)

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT Processzor utasítás

Részletesebben

találhatók. A memória-szervezési modell mondja meg azt, hogy miként

találhatók. A memória-szervezési modell mondja meg azt, hogy miként Memória címzési módok Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről) a program utasításai illetve egy utasítás argumentumai a memóriában találhatók. A memória-szervezési

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

MPLAB ICD használata

MPLAB ICD használata MPLAB ICD használata Mit is tud az MPLAB ICD? Real-time és lépésről lépésre programvégrehajtás. Töréspont elhelyezése. Nyomkövetés a céláramkörben. Programozás a céláramkörben. Forrás szintű és szimbolikus

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT A processzorok

Részletesebben

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka MPLAB IDE - SIM - - Rövid ismertető a használathoz - 3E22 89/2004 2006. November 14 Szabadka - 2 - Tartalomjegyzék TARTALOMJEGYZÉK 3 SIMULATOR I/O 4 SIMULATOR STIMULUS 4 STIMULUS VEZÉRLŐ (CONTROLLER) 5

Részletesebben

Adatelérés és memóriakezelés

Adatelérés és memóriakezelés Adatelérés és memóriakezelés Jelen nayagrészben az Intel x86-os architektúrára alapuló 32 bites processzorok programozását tekintjük. Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről)

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése MicroBlaze processzor Fehér Béla Raikovich Tamás

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

sallang avagy Fordítótervezés dióhéjban Sallai Gyula

sallang avagy Fordítótervezés dióhéjban Sallai Gyula sallang avagy Fordítótervezés dióhéjban Sallai Gyula Az előadás egy kis példaprogramon keresztül mutatja be fordítók belső lelki világát De mit is jelent, az hogy fordítóprogram? Mit csinál egy fordító?

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Assembly programozás levelező tagozat

Assembly programozás levelező tagozat Assembly programozás levelező tagozat Szegedi Tudományegyetem Képfeldolgozás és Számítógépes Grafika Tanszék 2011-2012-2 Tematika Assembly nyelvi szint. Az Intel 8086/88 regiszter készlete, társzervezése,

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

Modellező eszközök, kódgenerálás

Modellező eszközök, kódgenerálás Modellező eszközök, kódgenerálás Budapesti Műszaki és Gazdaságtudományi Egyetem Hibatűrő Rendszerek Kutatócsoport Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek

Részletesebben

Informatika 1 2. el adás: Absztrakt számítógépek

Informatika 1 2. el adás: Absztrakt számítógépek Informatika 1 2. el adás: Budapesti M szaki és Gazdaságtudományi Egyetem 2015-09-08 1 2 3 A egy M = Q, Γ, b, Σ, δ, q 0, F hetes, ahol Q az 'állapotok' nem üres halmaza, Γ a 'szalag ábécé' véges, nem üres

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Digitális technika Xilinx ISE GUI használata

Digitális technika Xilinx ISE GUI használata BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Xilinx ISE GUI használata BME MIT Fehér Béla Raikovich

Részletesebben

Verilog HDL ismertető 2. hét : 1. hét dia

Verilog HDL ismertető 2. hét : 1. hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 2. hét : 1. hét + 15 25 dia Fehér Béla, Raikovich

Részletesebben

The modular mitmót system. DPY kijelző kártya C API

The modular mitmót system. DPY kijelző kártya C API The modular mitmót system DPY kijelző kártya C API Dokumentációkód: -D 01.0.0.0 Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Beágyazott Információs Rendszerek

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés: a 2. alkalom

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS 2015. 09. 06. Tartalom Labor 2 mikrovezérlők modul 2 alkalom 1 mikrovezérlők felépítése, elmélet 2 programozás, mintaprogramok Értékelés:

Részletesebben

Labor gyakorlat Mikrovezérlők

Labor gyakorlat Mikrovezérlők Labor gyakorlat Mikrovezérlők ATMEL AVR ARDUINO 1. ELŐADÁS BUDAI TAMÁS Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben