Egyszerű számítógép működése

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Egyszerű számítógép működése"

Átírás

1 gyszerű számítógép működése gy Neumann és egy Harvard arcitektúrájú számítógép egyszerűsített blokkvázlatát mutatják az alábbi ábrák. Neumann architektúra cím busz környezet CPU ROM RAM perifériák órajel generátor reset áramkör adat busz vezérlõ busz kód memória cím busz Harvard architektúra cím busz környezet ROM (kód mem.) CPU RAM (adat mem.) perifériák utasítás kód/konstans adat reset áramkör órajel generátor adat busz vezérlõ busz

2 A blokkvázlaton a 4 fő egység és az azokat összekötő jelcsoportok (buszok) funkciója a következő: A CPU (Central Processing Unit) a fő egység, a többi ennek a működéséhez szükséges alegység. A kód memóriában találhatók az CPU által végrehajtamdó utasítások kódjai. Az adat memóriában tárolja a CPU a változókat. (Többnyire ennek egy részében van a szubrutinokhoz és interrupthoz szükséges memória terület is. Azonban kisebb CPU-k esetén, azt önálló hardver valósítja meg.) A perifériák teszik lehetővé, hogy a CPU a környezettel kommunikáljon, onnan adatokat kapjon és oda adatokat küldjön. A buszok teszik lehetővé az egységek közötti kommunikációt. A buszt vezérlő egységet busz masternek nevezik. lsősorban a CPU a buszmaster (de speciális periféria is lehet, de ezt itt nem részletezzük). A továbbiakban csak a CPU-ra hivatkozunk. o A címbusz a rákapcsolódó egységek egy tároló rekeszének megcímzésére (kijelölésére) szolgál. o Az adatbuszon küld vagy fogad adatot a CPU a megcímzett egységtől. o A vezérlő buszon a CPU kijelöli az adatmozgatás irányát (: olvas a megcímzett egységből, : ír a megcímzett egységbe) és vezérli ezek időbeli lezajlását. (A vezérlő busznak egyéb, itt nem részletezett funkciójú jelei is vannak.) 2

3 Neumann architektújájú számítógép esetén a CPU a kódmemóriát és adat memóriát olvasásnál ugyanúgy kezeli, adatmemóriából is képes utasítást olvasni. A memóriákban vegyesen lehetnek utasítás kódok és adatok (konstansok és változók is). Mivel a fenti ábrán a kód memória (ROM) és az adat memória (RAM) ugyanazon a buszon van így ez természetesen teljesül. Harvard architektújájú számítógép esetén a kód memóriához és adat memóriához külön cím és adatbusz tartozik. zért ebben az esetben a CPU csak az kódmemóriából képes utasítást olvasni, az adatmemóriában csak adatok lehetnek, utasítások nem. o A címbuszon adja ki a CPU a következő utasítás (utasításkód) címét. A következő utasítás címét mindig a PC (Program Counter) mutatja, ez a CPU része. Harvard architektúra esetén tehát ez a külön kódmemória címbusz. o Az adat buszon kapja meg a CPU a megcímzett utasítás kódját. Harvard architektúra esetén tehát ez a külön kódmemória adatbusz. Azonban a módosított Harvard architektúra esetén a kódmemóriából konstans adatot is képes olvasni (erre külön utasítása van). o A címbuszon címzi meg (jelöli ki) a CPU azt a RAM vagy periféria rekeszt, amelyet olvasni vagy írni fog. Harvard architektúra esetén tehát ez egy külön adatmemória címbusz. o Az adat buszon olvassa vagy írja a CPU az adatot a memóriába vagy perifériába. Harvard architektúra esetén tehát ez a külön adatmemória adatbusz. o A Harvard felépítés előnye az, hogy miközben az aktuális utasítás az adatmemóriát használja, az utasítás memóriából elő tudja venni a következő utasítás kódját. z a párhuzamosítás gyorsabb működést eredményez. A reset áramkör a CPU és a perifériák alaphelyzetbe hozását végzi a bekapcsoláskor (és esetleg nyomógomb megnyomására) Az órajel generátor adja az órajelet a CPU-nak és többnyire a perifériáknak is. 3

4 A továbbiakban egy oktatási célú, egyszerűsített mikorkontrolleren (komplett processzoros rendszer egyetlen chipben) mutatjuk be a számítógép működésének alapjait. z a rész a MiniRISC_CPU_short dokumentációban található. A következő lapok ábrái a fenti jegyzet kiegészítéseképpen a MiniRISC processzor belső felépítésének blokkvázlatán mutatják be néhány utasítás végrehajtását. Az utasítás végrehajtása 3 fázisra bontható. zt a CPU-ban levő vezérlő (szinkron sorrendi hálózatként megvalósított állapotgép) valósítja meg, a processzor többi részének megfelelő vezérlőjeleket adva. FTCH: Utasítás beolvasása az utasítás regiszterbe. A FTCH ciklus alatt a vezérlő engedélyezi az utasítás regiszterbe írást. Maga az írás a vezérlő FTCH állapota alatti órajelre történik meg. Ugyanerre az órajelre a vezérlő átlép a DCOD állapotba és a PC-t (a kódmemóriát címző számlálót) növeli, hogy az következő utasítás várható címére mutasson. (Az ugró és szubrutin hívó utasítások ezt felülírják az XCUT ciklusban.) DCOD: Az utasítás dekódolása. Annak eldöntése, hogy melyik utasításról van szó. zt az utasítás kód néhány bitje kódolja. zektől függően a vezérlő a DCOD állapot alatt jövő órajelre az aktuális utasítás végrehajtásához szükséges vezérlő jeleket előállító valamelyik XCUT állapotba lép, a sok közül (ezt itt XCUT i-vel jelöljük). Ilyenből annyi van, ahány egymástól eltérő (a vezérlő által előállítandó) vezérlő jelet igénylő utasítás van. (A vezérlő jelek egy része közvetlenül az utasításregiszterből jön. Pl. az operandusok címe.) 4

5 XCUT: Az utasítás végrehajtása. A vezérlő az XCUT i állapotban kiadja az aktuális utasítás végrehajtásához szükséges vezérlőjeleket. Az utasítás végrehjatása az XCUT i alatt érkező órajelre történik meg. Tehát amikor a vezérlő az XCUT i állapotból átlép a következő állapotba. (z általában a következő utasítást beolvasó FTCH állapot, ez alól kivétel, ha az utasítás alatt a vezérlő interruptot észlel.) INTRRUPT: Megszakítás. A perifériák külön jellel jelezhetik a CPU-nak, ha kiszolgálási igényük van (új adat érkezett, új adatot vár, egyéb esemény történt). A megszakítás hatására a FTCH után nem közvetlenül az XCUT hanem egy INTRRUPT állapot jön, ha a CPU-ban engedélyezett. bben az állapotban CPU a stack-re (lásd később) menti a következő utasítás címét, letiltja a további megszakításokat majd az interrupt kiszolgáló programra ugrik. A vezérlő ezután lép a FTCH állapotba és a CPU beolvasa a következő utasítást (az IT első utasítását.) Az ún. egyszerű interrupt rendszerben minden interrupt (IT) kezdőcíme ugyanott van. Az IT rutin a perifériák állapotregiszterének lekérdezésével megállapítja, hogy melyik kért IT-t. Azt kiszolgálja, majd RTI utasítással a stack-ről visszamenti a visszatérési címet és oda ugorva folytatja a megszakított programot. 5

6 A memória Az SP szerepe és verem tár (stack, zsák memória, LIFO) kezelése Az ún. stacket egyes utasítások és az interrupt működéséhez szükésges. A RAM memóriának egy speciálisan kezelt része vagy önálló hardwer egység. A kezelésének lényege, hogy az adatokhoz a beírással ellentétes sorrendben lehet csak hozzáférni (Last In First Out LIFO). A beírás ill. kiolvasás helyét a stack pointer (SP) tartja nyilván. A beírás előtt az SP általában a következő üres helyre pozicionálódik és oda íródik be az adat. Olvasásákor ahova az SP éppen mutat, onnan olvas, majd az olvasás után rögtön a következő (utoljára beírt) adatra pozicionálódik. beírás előtt:. beírás után: 2. beírás után:. beolvasás után: cím csökkenés SP adat2 SP adat adat SP adat SP "a zsák alja" 6

7 A MiniRISC processzor belső felépítésének blokkvázlata PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR pmem2cpu_data cpu2pmem_addr clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez (A szaggatott vonallal körülhatárolt rész a MiniRISC processzor (CPU), a többi a kódmemória, adat memória és perifériák.) 7

8 Adatmozgató utasítás, olvasás a memóriából: FTCH (uatsítás kód olvasás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR xd 8 pmem2cpu_data mov r, x cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 8

9 Adatmozgató utasítás, olvasás a memóriából: DCOD (utasítás dekódolás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR clk 8 pmem2cpu_data next instr cpu2pmem_addr 6 rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xd mov r, WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 9

10 Adatmozgató utasítás, olvasás a memóriából: XCUT (utasítás végrehajtás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR 8 pmem2cpu_data next instr cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xd mov r, (r) WdX WX RG. TÖMB AddrX (R-R5) AddrY RdX RdY x (rst) stack (IT) FTCH I IF FSM DCOD XCUT mov ri,m VZÉRLÉS FLTÉTL JLK R OP ALU R 2 R OP2 R+R FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez

11 Adatmozgató utasítás, írás a memóriába: FTCH (utasítás beolvasás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR x93 8 pmem2cpu_data mov 3, r cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez

12 Adatmozgató utasítás, írás a memóriába: DCOD (utasítás dekódolás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR 8 pmem2cpu_data next instr x2 cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR x93 mov 3, r WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 2

13 Adatmozgató utasítás, írás a memóriába: XCUT (utasítás végrehajtás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR 8 pmem2cpu_data next instr x2 cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR x93 mov 3, r (r) WdX WX RG. TÖMB AddrX (R-R5) AddrY RdX RdY x (rst) stack (IT) FTCH I IF FSM DCOD XCUT mov m, ri VZÉRLÉS FLTÉTL JLK r 3 OP ALU R 2 R OP2 R+R FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 3

14 aritmetikai művelet végző utasítás, összeadás: FTCH (utasítás beolvasás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR xf 8 pmem2cpu_data add r,r x2 cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 4

15 aritmetikai művelet végző utasítás, összeadás: DCOD (utasítás dekódolás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR 8 pmem2cpu_data next instr x3 cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xf add r,r WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT i FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 5

16 aritmetikai művelet végző utasítás, összeadás: XCUT (utasítás végrehajtás) ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO KÓDMMÓRIA ADDR 8 pmem2cpu_data next instr x3 cpu2pmem_addr 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xf add r,r (r) WdX WX RG. TÖMB AddrX (R-R5) AddrY RdX RdY (r) x (rst) stack (IT) FTCH I IF FSM DCOD XCUT add VZÉRLÉS FLTÉTL JLK add r konstans r 2 r OP OP2 ALU r+r FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 6

17 PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO x3 ADDR 8 KÓDMMÓRIA cpu2pmem_addr pmem2cpu_data instr code 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xf add r,r WdX WX RG. TÖMB (R-R5) AddrX AddrY r=r+r RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FTCH FSM DCOD XCUT add FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 7

18 Interrupt kérés hatására: interrupt elfogadás ciklus PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO x3 ADDR 8 KÓDMMÓRIA cpu2pmem_addr pmem2cpu_data instr code 6 clk rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF, x3 PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xf add r,r WdX WX RG. TÖMB (R-R5) AddrX AddrY x (rst) stack (IT) I IF VZÉRLÉS RdX konstans RdY 2 FSM FTCH DCOD XCUT add FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 8

19 interrupt elfogadás ciklusból a FTCH-be lépéskor a PC-be az interrupt első utasításának címe kerül (Mini RISC processzornál ez mindig ) PRIFÉRIÁK (x-x7f) MMÓRIA (x8-xff) PDI PDO MDI MDO ADDR 8 KÓDMMÓRIA cpu2pmem_addr pmem2cpu_data clk instr code(it) 6 rst DOU (cpu2dmem_data) DIN (dmem2cpu_data) ADDR (cpu2dmem_addr) PC Z,C,N,V, I,IF PROCSSZOR ADATSTRUKTÚRA LD counter push pop IR xf add r,r WdX WX RG. TÖMB (R-R5) AddrX AddrY RdX RdY x (rst) stack (IT) I IF VZÉRLÉS konstans 2 FSM FTCH DCOD XCUT add FLTÉTL JLK OP ALU OP2 FLAG Z C N V PROCSSZOR VZÉRLÕ MiniRISC processzor feltétel jelekhez 9

20 Minta program A memóriában levő 2 adat beolvasása, összeadása, az eredmény kiírása a memóriába. COD mov r, data mov r, data2 add r, r mov result, r loop: jmp loop ; RG[] = DMM[] ; RG[] = DMM[] ; RG[] = RG[] + RG[] ; DMM[3] = RG[] data: DB x2 data2: DB x4 result: DB x 2

21 A perifériák adat CS cím belsõ buszra csatlakozás ADAT RGISZTR(K) ÁLLAPOT RGISZTR PARANCS RGISZTR(K) ÜZMMÓD RGISZTR(K) konkrét periféria funkciót megvalósító egység külvilághoz csatlakozó jelek gy periféria 4 fajta regiszterrel rendelkezhet, melyek írása, olvasása a RAM-hoz hasonló vezérlő jelekkel történik. Üzemmód regiszter: A periféria működési módjának beállítására szolgál. gy-egy periféria egy adott feladatcsoport megoldását teszi lehetővé konfigurálható üzemmódok segítségével (pl. egy timer egységgel időzítési, számlálási, impulzus generálási feladatok oldhatók meg). Parancs regiszter: A periféria valamely működését lehet vele kezdeményezni. Pl. A/D konverzió indítása. Sokszor az üzemmód és parancs reigsztert összevonják és vezérlő regiszternek nevezik. Állapot (státus) regiszter: A periféria állapotáról ad informáziókat. Az állapotregiszter bitjei ún. megszakítást is kérhetnek, ha az engedélyezett. Adat regiszter: Ide kell beírni itt lehet kiolvasni az adatot. 2

22 Periféria kezelési módszerek A perifériák egy része jelzést ad, ha elkészült a feladattal (új adat keletkezett vagy új adat fogadására kész). A jelzés észrevételére és az adat kezelésére 3 féle alapmódszer létezik. Hogy ezek közül melyiket célszerű alkalmazni, az a periféria és a CPU relatív sebességétől függ. A perifériákat sebesség szerint 3 kategóriába sorolhatjuk: a. A CPU sebességénél gyorsabban termel adatokat vagy gyorsabban kell kapnia adatokat, mint amit CPU képes lekezelni. (zzel most nem foglalkozunk részletesebben.) b. A periféria az indítása után elég gyorsan (néhányszor utasításnyi időn belül) elkészül, a CPU képes lekezelni. c. A periféria az indítása után nagyon soká készül el. (A CPU annak elkészültéig nagyon sok utasítást képes végrehajtani.) 22

23 Programozott lekérdezéses periféria kezelés A b. esetben CPU a periféria státus regiszterét figyelve veheti észre, hogy a perifériának kiszolgálási igénye van. Mivel a periféria olyan sebességgel termeli az adatot, hogy a státus figyelő ciklusban nem tölt sok időt a CPU, így nem romlik a kihasználtsága. INDÍTÁS STÁTUS OLVASÁS RADY? n ADATÁTVITL i 23

24 Interruptos periféria kezelés A c. esetben az a célszerű, ha a periféria aktívan jelzi a CPU-nek a kiszolgálási igényét, mert pl. programozott lekérdezésnél feleslegesen sok időt töltene a lekérdezési ciklusban. zt interrupt (IT) kéréssel teheti meg. Az IT hatása: A CPU a következő utasítás címét a stack-re menti. Többnyire letiltja a további IT-ket. lugrik az IT rutin elejére. Végrehajtaja az IT rutin utasításait. Az IT rutin utolsó utasítása RTI, aminek hatására előveszi a stakről az oda mentett címet és ennek alapján visszaugrik a megszakított program következő utasítására. Az IT rutin feladata a periféria jelzés hatására az IT rutinban gyorsan elvégezni a feladatok időkritikus részét (pl. adat elvétele). A többi kapcsolódó (nem időkritikus) feladatot a főprogramra kell hagyni. 24

25 Az IT rutin címéne meghatározása, IT rendszerek gyszerű IT rendszer: Minden IT rutin ugyazon a címen kezdődik. Az IT kérő periféria beazonosítása a státusregiszterek lekérdezésével történik ITj FUTÓ PROGRAM cím i+ közös IT rutin: RGISZTR MNTÉSK cím i+: UTASÍTÁS i UTASÍTÁS i+ STÁTUS_ OLVASÁS RADY? i i CALL Kiszolgáló rutin STÁTUS_j OLVASÁS RADY j? i i CALL Kiszolgáló rutin j PC= cím i+ RGISZTR MNTÉSK RTI 25

26 MiniRISC_short jegyzetből megnézendő perifériák Perifériák közül basic IO (GPIO General Pripheral Input Output) regisztereinek funkciója: o programozhatóan bemenet vagy kimenet lehet o irányregiszterrel állítható be a bitek iránya o kimeneti adatregiszterbe írandó a kimeneti adat (az irányt kimenetbe kell állítani, mert csak akkor jelenik meg az adatvezetékeken) o bemeneti adatregiszterből olvasható be a port adatvezetékein megjelenő logikai érték (bemenet esetén a kivülről rátett adat, kimenet esetén a kimeneti adatregiszter tartalma) o Pl. Kimenetként állítva be/ki kapcsolhat egy LD-et. Bemenetként állítva visszadhatja egy kapcsoló állását (bekapcsolt vagy kikapcsolt). USRT (Universal Synchron Receiver Transmitter) funkciója: o A CPU és távolabbi külső egység (pl. PC) közötti 2 irányú soros (bit-soros) kommunikációra használható. o kétirányú szinkron soros port o órajel ütemezi az adatátvitelt o a kimenet adatbiteket időben sorosan adja ki a kimenetén (TX), az órajellel szinkronban o a bemeneti adatbiteket időben sorosan veszi a bemenetén (RX), az órajellel szinkronban o a státus regiszter jelzi, ha a bemeneti regiszterbe adat jött ill. ha a kimeneti regiszterben van hely újabb adatnak 26

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017)

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztés mikroprocesszoros buszra (áramkörön belüli szinkron busz esetén) KÓDMEMÓIA

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Periféria kezelési módszerek programozott megszakításos MA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Programozott periféria kezelés Közvetlen szoftver ütemezés gyes perifériáknál nincs szükség

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

Digitális technika VIMIAA02 9. hét

Digitális technika VIMIAA02 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

1. ábra: Perifériára való írás idődiagramja

1. ábra: Perifériára való írás idődiagramja BELÉPTETŐ RENDSZER TERVEZÉSE A tárgy első részében tanult ismeretek részbeni összefoglalására tervezzük meg egy egyszerű mikroprocesszoros rendszer hardverét, és írjuk meg működtető szoftverét! A feladat

Részletesebben

A mikroprocesszor egy RISC felépítésű (LOAD/STORE), Neumann architektúrájú 32 bites soft processzor, amelyet FPGA val valósítunk meg.

A mikroprocesszor egy RISC felépítésű (LOAD/STORE), Neumann architektúrájú 32 bites soft processzor, amelyet FPGA val valósítunk meg. Mikroprocesszor A mikroprocesszor egy RISC felépítésű (LOAD/STORE), Neumann architektúrájú 32 bites soft processzor, amelyet FPGA val valósítunk meg. A mikroprocesszor részei A mikroprocesszor a szokásos

Részletesebben

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 számítógép felépítése 1/8 számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: : Harvard struktúra : Neumann struktúra kétféle elrendezés alapvetően egyformán

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 3. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A mikroprocesszoros rendszerek

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A megszakításrendszer A

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerő perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK

VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK VI. SZOFTVERES PROGRAMOZÁSÚ VLSI ÁRAMKÖRÖK 1 Az adatok feldolgozását végezhetjük olyan általános rendeltetésű digitális eszközökkel, amelyeket megfelelő szoftverrel (programmal) vezérelünk. A mai digitális

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 INFORMATIKAI RENDSZEREK ALAPJAI (INFORMATIKA I.) 1 NEUMANN ARCHITEKTÚRÁJÚ GÉPEK MŰKÖDÉSE SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1 Ebben a feladatban a következőket fogjuk áttekinteni: Neumann rendszerű számítógép

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT Processzor utasítás

Részletesebben

OPERÁCIÓS RENDSZEREK. Elmélet

OPERÁCIÓS RENDSZEREK. Elmélet 1. OPERÁCIÓS RENDSZEREK Elmélet BEVEZETÉS 2 Az operációs rendszer fogalma Az operációs rendszerek feladatai Csoportosítás BEVEZETÉS 1. A tantárgy tananyag tartalma 2. Operációs rendszerek régen és most

Részletesebben

Az MSP430 mikrovezérlők digitális I/O programozása

Az MSP430 mikrovezérlők digitális I/O programozása 10.2.1. Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó

Részletesebben

Informatika érettségi vizsga

Informatika érettségi vizsga Informatika 11/L/BJ Informatika érettségi vizsga ÍRÁSBELI GYAKORLATI VIZSGA (180 PERC - 120 PONT) SZÓBELI SZÓBELI VIZSGA (30 PERC FELKÉSZÜLÉS 10 PERC FELELET - 30 PONT) Szövegszerkesztés (40 pont) Prezentáció-készítés

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT A processzorok

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Karakteres LCD kijelző használata MiniRISC processzoron

Karakteres LCD kijelző használata MiniRISC processzoron Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte

Részletesebben

találhatók. A memória-szervezési modell mondja meg azt, hogy miként

találhatók. A memória-szervezési modell mondja meg azt, hogy miként Memória címzési módok Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről) a program utasításai illetve egy utasítás argumentumai a memóriában találhatók. A memória-szervezési

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Az integrált áramkörök kimenetének kialakítása

Az integrált áramkörök kimenetének kialakítása 1 Az integrált áramörö imeneténe ialaítása totem-pole three-state open-olletor Az áramörö általános leegyszerűsített imeneti foozata: + tápfeszültség R1 V1 K1 imenet V2 K2 U i, I i R2 ahol R1>>R2, és K1,

Részletesebben

A Texas Instruments MSP430 mikrovezérlőcsalád

A Texas Instruments MSP430 mikrovezérlőcsalád 1.4.1. A Texas Instruments MSP430 mikrovezérlőcsalád A Texas Instruments MSP430-as mikrovezérlői 16 bites RISC alapú, kevert jelű (mixed signal) processzorok, melyeket ultra kis fogyasztásra tervezték.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

A 32 bites x86-os architektúra regiszterei

A 32 bites x86-os architektúra regiszterei Memória címzési módok Jelen nayagrészben az Intel x86-os architektúrára alapuló 32 bites processzorok programozását tekintjük. Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről)

Részletesebben

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében SR mini PLC Modbus illesztő modul Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében Kimeneti pontok címkiosztása főmodul esetében, olvasásra

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Digitális rendszerek. Utasításarchitektúra szintje

Digitális rendszerek. Utasításarchitektúra szintje Digitális rendszerek Utasításarchitektúra szintje Utasításarchitektúra Jellemzők Mikroarchitektúra és az operációs rendszer közötti réteg Eredetileg ez jelent meg először Sokszor az assembly nyelvvel keverik

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... NÉV:... neptun kód:.. feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... Kedves Kolléga! kitöltést a név és aláírás rovatokkal kezdje! z alábbi kérdésekre

Részletesebben

Adatfeldolgozó rendszer tervezése funkcionális elemekkel

Adatfeldolgozó rendszer tervezése funkcionális elemekkel Adatfeldolgozó rendszer tervezése funkcionális elemekkel F1. Tervezzünk egy adatbányász egységet, amely egy 256x8 bites ROM adattároló memóriában megkeresi a megadott keresési feltételnek megfelelő legelső

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

A MiniRISC processzor (rövidített verzió)

A MiniRISC processzor (rövidített verzió) BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor (rövidített verzió) Fehér Béla, Raikovich Tamás,

Részletesebben

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 3. előadás Dr. Bécsi Tamás ATmega128 CPU Single-level pipelining Egyciklusú ALU működés Reg. reg., reg. konst. közötti műveletek 32 x 8 bit általános célú regiszter Egyciklusú

Részletesebben

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor Ismerkedjünk tovább a számítógéppel Alaplap és a processzeor Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív

Részletesebben

Operandus típusok Bevezetés: Az utasítás-feldolgozás menete

Operandus típusok Bevezetés: Az utasítás-feldolgozás menete Operandus típusok Bevezetés: Az utasítás-feldolgozás menete Egy gépi kódú utasítás általános formája: MK Címrész MK = műveleti kód Mit? Mivel? Az utasítás-feldolgozás általános folyamatábrája: Megszakítás?

Részletesebben

Adatelérés és memóriakezelés

Adatelérés és memóriakezelés Adatelérés és memóriakezelés Jelen nayagrészben az Intel x86-os architektúrára alapuló 32 bites processzorok programozását tekintjük. Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről)

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport Beugró kérdések: 1. USART jelalak (TdX) felrajzolása adott paritás és adott számú STOP bit mellett egy kétjegyű hexa szám átvitelére. 2. RST7.5, TRAP és INT megszakítási bemenetek összehasonlítása tilthatóság

Részletesebben

5-6. ea Created by mrjrm & Pogácsa, frissítette: Félix

5-6. ea Created by mrjrm & Pogácsa, frissítette: Félix 2. Adattípusonként különböző regisztertér Célja: az adatfeldolgozás gyorsítása - különös tekintettel a lebegőpontos adatábrázolásra. Szorzás esetén karakterisztika összeadódik, mantissza összeszorzódik.

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 8. Egy minimalista 8-bites mikrovezérlő tervezése 1 Felhasznált irodalom és segédanyagok Icarus Verilog Simulator: htttp:iverilog.icarus.com/ University of Washington Comttputer

Részletesebben

Az operációs rendszer szerkezete, szolgáltatásai

Az operációs rendszer szerkezete, szolgáltatásai Az operációs rendszer szerkezete, szolgáltatásai Felhasználói programok Rendszerhívások Válaszok Kernel Eszközkezelők Megszakításvezérlés Perifériák Az operációs rendszer szerkezete, szolgáltatásai Felhasználói

Részletesebben

Processzor (CPU - Central Processing Unit)

Processzor (CPU - Central Processing Unit) Készíts saját kódolású WEBOLDALT az alábbi ismeretanyag felhasználásával! A lap alján lábjegyzetben hivatkozz a fenti oldalra! Processzor (CPU - Central Processing Unit) A központi feldolgozó egység a

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Misák Sándor ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.02.13.) 1. előadás 1. Általános ismeretek. 2. Sajátos tulajdonságok. 3. A processzor jellemzői.

Részletesebben

Adatok ábrázolása, adattípusok

Adatok ábrázolása, adattípusok Adatok ábrázolása, adattípusok Összefoglalás Adatok ábrázolása, adattípusok Számítógépes rendszerek működés: információfeldolgozás IPO: input-process-output modell információ tárolása adatok formájában

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 8

Digitális technika (VIMIAA01) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 8

Digitális technika (VIMIAA02) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

Az vevő- és vezérlőáramkör programja

Az vevő- és vezérlőáramkör programja Az vevő- és vezérlőáramkör programja Központizár-vezérlés - IR vevő- és vezérlőáramkör INCLUDE 89C2051.mc ******************************************************************************** VÁLTOZÓK ********************************************************************************

Részletesebben

Számítógép fajtái. 1) személyi számítógép ( PC, Apple Macintosh) - asztali (desktop) - hordozható (laptop, notebook, palmtop)

Számítógép fajtái. 1) személyi számítógép ( PC, Apple Macintosh) - asztali (desktop) - hordozható (laptop, notebook, palmtop) Számítógép Számítógépnek nevezzük azt a műszakilag megalkotott rendszert, amely adatok bevitelére, azok tárolására, feldolgozására, a gépen tárolt programok működtetésére alkalmas emberi beavatkozás nélkül.

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Az AVR ATmega128 mikrokontroller

Az AVR ATmega128 mikrokontroller Az AVR ATmega128 mikrokontroller Rövid leírás Ez a leírás a Mérés labor II. tárgy első mikrokontrolleres témájú mérési gyakorlatához készült. Csak annyit tartalmaz általánosan az IC-ről, ami szerintünk

Részletesebben