Digitális technika (VIMIAA01) Laboratórium 11

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Digitális technika (VIMIAA01) Laboratórium 11"

Átírás

1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás, Fejér Attila Digit labor 11., (v1.0) BME MIT

2 Lab11: A megszakításos perifériakezelés 1. A mikroprocesszorok megszakítási alrendszere 2. A MiniRISC processzor megszakításos perifériái 3. A TIMER időzítő egység programozása 4. A TIMER ISR megszakítás kiszolgáló rutin felépítése 5. A mikro szervó motor tulajdonságai 6. A mikro szervó motor vezérlése 7. A PWM pulzusszélesség modulált vezérlőjel programozott előállítása 8. A laborfeladatok programjainak elkészítése Digit labor 11., (v1.0) 1

3 Lab11: A megszakításos perifériakezelés A mikroprocesszorok megszakítási alrendszere Feladata a program végrehajtáshoz kapcsolódó speciális események kezelése Megszakítást okozhatnak SW vagy HW események Mi csak a HW eseményekkel foglalkozunk, elsősorban a perifériakezeléshez kapcsolódóan Ez a módszer külön egyedi HW részáramköröket kíván a processzorban, a rendszerben és a perifériában egyaránt Digit labor 11., (v1.0) 2

4 Lab11: A megszakításos perifériakezelés A perifériák lehetnek passzív vagy aktív perifériák A passzív perifériák kezelése egyszerű, programozott működtetés Parancs / adat kiadás és azonnali végrehajtás A periféria mindig kész új működtetésre Az aktív perifériák nevükből eredően önálló működéssel rendelkezhetnek Kimeneti periféria, ami a parancs végrehajtásához / adatátvitelhez extra időt igényel Bemeneti periféria, ami tetszőleges időpontban adatot generálhat Digit labor 11., (v1.0) 3

5 Lab11: A megszakításos perifériakezelés Az aktív perifériák kezelése Programozott, lekérdezéses Várakozás a feltétel/esemény megtörténésére Programozott lekérdezés Megszakításos eseményjelzés és kiszolgálás Digit labor 11., (v1.0) 4

6 Lab11: A megszakításos perifériakezelés Programozott perifériakezelés: Perifériakezelés várakozással: A program működése a várakozási ponton áll, amíg az esemény nem történik meg, semmilyen hasznos egyéb utasítás végrehajtás, feladatvégzés nem történik Perifériakezelés lekérdezéssel A program a futása során periódikusan lekérdezi a periféria státuszregiszterét, ellenőrzi az esetleg kiszolgálási igényt, de közben más feladatot végez Digit labor 11., (v1.0) 5

7 Lab11: A megszakításos perifériakezelés Megszakításos perifériakezelés és kiszolgálás: Lényege: A periféria rendelkezik jelzési lehetőséggel, amennyiben olyan esemény történik, ami a processzor részéről kiszolgálást igényel Speciális hardver megoldás minden részegységben: A processzor kiegészítése a programvégrehajtás, utasítássorrend tetszőleges idejű módosíthatóságára A rendszer kiegészítése a megszakítás kérések és elfogadások kommunikációs igényeire A perifériák kiegészítése a kapcsolódó funkciókkal Digit labor 11., (v1.0) 6

8 Lab11: A megszakításos perifériakezelés A MiniRISC processzor megszakítás alrendszere: Egyszerű, egyszintű megszakításrendszer Egyetlen megszakításkérés bemeneti vonal IRQ Fix cím a megszakítás kiszolgáló rutin (ISR) részére (RESET vektor 0x00 címen) Megszakítás vektor 0x01 címen Extra utasítások: STI, CLI, RTI Extra állapot az utasítás végrehajtásban Aktív IRQ észlelése és elfogadása esetén PC és flagek mentése a verembe, PC IT vektor, IE=0 Digit labor 11., (v1.0) 7

9 Lab 11: MiniRISC processzor Vezérlő állapotgép Töréspont, ekkor a debug modul veszi át a vezérlést ~continue STATE_BREAK continue IR PMEM[PC] PC PC+1 break STATE_INIT PC 0, IE 0, IF 0 STATE_FETCH ~break IR PMEM[PC] PC PC+1 STATE_DECODE A processzor inicializálása Utasítás lehívás Utasítás dekódolás STATE_EX_XXX ~IE ~IRQ Utasítás végrehajtás Digit labor 11., (v1.0) IE & IRQ STATE_INT_REQ stack PC,Z,C,N,V,IE,IF PC 0x01, IE 0, IF 1 8 Megszakítás kiszolgálás

10 Lab11: A megszakításos perifériakezelés Az ISR megszakítás kiszolgáló rutin Aktiválása a külső/belső esemény hatására történik, nincs külön hívó utasítás (tetszőleges időpontban, bármely PC értéknél megtörténhet) A PC-be betöltődik a 0x01, a megszakításvektor értéke, ezen a címen egy ugró utasítás található Az ISR felépítésében hasonló egy normál szubrutinhoz, szokásos perifériakezelési feladatokat végez Valamikor a végrehajtás során olvassa a periféria státuszregiszterét és ezzel törli az IT kérést Visszatérés RTI utasítással (PC+flagek és IE=1) Digit labor 11., (v1.0) 9

11 Lab 11: MiniRISC processzor Interfészek (Programmemória interfész, IRQ elfogadás) Programmemória interfész Ugrás vagy szubrutinhívás esetén a programszámláló értéke módosul(hat) a végrehajtási (execute) fázisban Megszakításkérés kiszolgálása esetén az INT_REQ állapotban a programszámlálóba betöltődik a megszakítás vektor (0x01) A fenti két esetben a következő lehívási (fetch) fázisra éppen időben megjelenik az új cím a programmemória címbuszán clk irq irq állapotának vizsgálata irq állapotának vizsgálata a CPU állapota FETCH DECODE EXECUTE FETCH DECODE EXECUTE INT_REQ FETCH DECODE cpu2pmem_addr 0x15 0x16 0xAC 0xAD 0x01 0x02 pmem2cpu_data jmp 0xAC PMEM[0x16] PMEM[0xAC] PMEM[0xAD] PMEM[0x01] PMEM[0x02] Az utasítás beolvasása itt történik Az utasítás beolvasása itt történik Digit labor 11., (v1.0) 10

12 Lab11: A megszakításos perifériakezelés Az ISR megszakítás kiszolgáló rutin komplexitása A választott megoldások széles skálán mozognak Minden szükséges feladatot elvégeznek az ISR-ben Előny: Nem igényel speciális programszervezést Hátrány: Hosszú időt töltünk az ISR-ben Az ISR-ben csak regisztráljuk az igényt A bejegyzett kiszolgálási igényt valamikor el kell végeznünk, a többi feladat mellett. Jellemzően bonyolult rendszerek (OS, RTOS) esetén használják Előny: Gyors IT reakcióidő, következő IT fogadható Hátrány: Bonyolultabb szervezés Digit labor 11., (v1.0) 11

13 Lab11: A megszakításos perifériakezelés A MiniRISC megszakításos perifériái TIMER időzítő egység BT nyomógomb egység USRT soros kommunikációs egység PS/2 PC billentyűzet/egér interfész egység VGA kijelző egység DMA vezérlő egység Ezeknél az eszközöknél lehet fontos az események gyors észlelése és kiszolgálása Digit labor 11., (v1.0) 12

14 Lab11: A megszakításos perifériakezelés A MiniRISC megszakításrendszere egyszerű, egyszintű, a processzor egyetlen IRQ bemenettel rendelkezik Hardver megoldás: Független IRQ kérések VAGY kapcsolata, nincs prioritás megkülönböztetés assign irq = tmr_irq btn_irq usrt_irq vga_irq kb_irq dma_irq ; Forrás azonosítás? Szoftverben, az ISR rutin elején Ha több eszközt is használunk megszakítással, akkor a prioritást magunk szabhatjuk meg! Digit labor 11., (v1.0) 13

15 Lab11: A megszakításos perifériakezelés Az ISR kialakítása több IT forrás esetén: A belépés után az általunk választott prioritás sorrendjében beolvassuk a státuszregisztereket Teszteljük, aktív-e a periféria IRQ bitje? Ha igen, kiszolgáljuk a kérést és RTI A normál program végrehajtási szintre visszalépve a még aktív korábbi IRQ kérések újabb megszakítást okoznak A fentiek szerint elkezdjük/folytatjuk a még aktív kérések kiszolgálását Digit labor 11., (v1.0) 14

16 Lab 11: A TIMER periféria 8 bites TIMER programozói interfész Felépítés: előosztó és egy 8 bites lefele számláló A számláló az előosztó által meghatározott ütemben számlál Számláló kezdőállapot regiszter (TR) BASEADDR + 0x00, csak írható A számláló kezdőállapota az időzítés mértékét határozza meg 7. bit 6. bit 5. bit 4. Bit 3. bit 2. bit 1. bit 0. bit TR7 TR6 TR5 TR4 TR3 TR2 TR1 TR0 W W W W W W W W Számláló regiszter (TM) BASEADDR + 0x00, csak olvasható Az időzítő számlálójának aktuális értéke 7. bit 6. bit 5. bit 4. bit 3. bit 2. bit 1. bit 0. bit TM7 TM6 TM5 TM4 TM3 TM2 TM1 TM0 R R R R R R R R Digit labor 11., (v1.0) 15

17 Lab 11: A TIMER periféria 8 bites TIMER programozói interfész Parancs regiszter (TC): BASEADDR + 0x01, csak írható 7. bit 6. bit 5. bit 4. bit 3. bit 2. bit 1. bit 0. bit TIE TPS2 TPS1 TPS0 - - TREP TEN W W W W n.a. n.a. W W Státusz regiszter (TS): BASEADDR + 0x01, csak olvasható 7. bit 6. bit 5. bit 4. Bit 3. bit 2. bit 1. bit 0. bit TIT TPS2 TPS1 TPS0 0 TOUT TREP TEN R R R R R R R R Bit TEN TREP TOUT TPS[2:0] TIE / TIT Digit labor 11., (v1.0) Funkció Engedélyező bit (0: a működés tiltott, 1: a működés engedélyezett) Működési mód kiválasztása (0: egyszeri, 1: ismétlődéses) Időzítési periódus lejárt jelzőbit Az előosztás (PS) mértékét beállító bitek 0 : nincs előosztás 1 7 : TTTTTT+11 előosztás (16, 64, 256, 1024, 4096, vagy 65536) Időzítő megszakítás engedélyezés és jelzés bitek 16

18 Lab11: A TIMER periféria A TIMER periféria felprogramozása A kívánt időzítési értékek alapján a szükséges paraméterek kiszámítása: TT = TTTT + 11 PPPP TT CCCCCC TTTT = , PPPP = 11, 1111, TT CCCCCC = 6666, Beállítható időzítések: ~100ns ~1s tartományban Minden tartományban 0,5% relatív pontosság Parancsbitek az előző dia szerint Biztonsági okokból felprogramozás után azonnal egy státusz olvasás, az esetleg aktív TOUT törlésére Digit labor 11., (v1.0) 17

19 Lab11: A TIMER periféria A TIMER periféria ISR rutinja Ha csak a TIMER képes IRQ kérésre Belépés után feladatok ellátása IRQ kérés törlése és visszatérés RTI-vel Digit labor 11., (v1.0) 18

20 Lab11: A mikro szervó motor A mikro szervó motor Paraméterek: Működési tápfeszültség: 3,7V - 6V, mi 3,3V-ról használjuk Kis méret Kis nyomaték Kis energiaigény Mi csak demonstrációs célra használjuk Digit labor 11., (v1.0) 19

21 Lab11: A mikro szervó motor A mikro szervó motor Vezérlés: Impulzusszélességgel A vezérlő áramkör periódikus vezérlőjelet vár, Hz (10 20 ms) ismétlődési periódussal Ezen belül a pozícióvezérlést egy 1ms 2ms közötti impulzusszélesség szabja meg (1,5ms KÖZÉPÁLLÁS) Digit labor 11., (v1.0) 20

22 Lab11: A mikro szervó motor A vezérlőjelek időfüggvénye generálható Programozott időzítéssel A processzort teljesen lefoglalná TIMER alapú lekérdezéses időzítéssel Bonyolult programszervezés, az időzítések betartására Megszakításos időzítéssel Az adott feladatra a legkedvezőbb megoldás A főprogram részéről minimális adminisztrációt igényel A periódikus jelek beállítása egy megfelelően megválasztott időzítés felbontás alapján egyszerű Digit labor 11., (v1.0) 21

23 Lab11: A mikro szervó motor A javasolt időzítési beállítások: Legyen a időfelbontás finomsága 4 us Így az 1ms 2 ms pulzusszélesség átfogás 256 lépésben adható meg (illeszkedik a 8 bithez) A teljes periódus legyen ennél 8x hosszabb, azaz ~16ms Pontosan 4us* re állítjuk Az időzítő 4us időkvantumait 12 hasznos biten számoljuk, 0-tól 4095-ig. Ez a 4096 időegység a teljes periódus. Ennek első 1/8-a a vezérlési időtartam. Digit labor 11., (v1.0) 22

24 Lab11: A mikro szervó motor A vezérlési időtartam használata A MINIMUM érték 1 ms, azaz 256 db 4us időegység A MAXIMUM érték 2ms, azaz 512 db 4us időegység Ezt a vezérlési időtartamot hasonlítjuk össze minden 4us-os megszakítási időpontban a teljes periódust mérő ig számoló aktuális perióduson belüli idővel. Ha ez kisebb, a kimenet 1 Ha ez már nagyobb, a kimenet 0 lesz. Ezt adjuk a mikro szervóra Digit labor 11., (v1.0) 23

25 Lab11_1 feladat: A vezérlési paraméterek A mikro szervó motor a LOGSYS Spartan3E FPGA kártya A bővítő csatlakozójára csatlakozik, egy 1x3 pontos toldó tüskesorral Csatlakoztatás a mikro szervó vezetékeinek színkódja alapján: Sárga : GPIO_C[1], Piros: +3,3V, Barna: GND Ügyeljünk a csatlakoztatásra! SÁRGA PIROS BARNA Digit labor 11., (v1.0) 24

26 Lab11_1 feladat: A vezérlési paraméterek Fontos feladat a mikro szervó motor vezérlését biztosító vezérlőjel kimenet felkonfigurálása a GPIO_C port 1, bitjére Alapértéke 0, használati módja: állandó kimenet Digit labor 11., (v1.0) 25

27 Lab11_2 feladat: A TIMER ISR rutin A vezérlés a TIMER ISR rutinban történik Először az aktuális pozíció értéket hasonlítjuk a perióduson belüli aktuális időhöz A pozíció értéket a főprogram olvassa be az SW perifériáról (8 bit), MINIMUM = 0, KÖZÉP = 127/128, MAXIMUM = 255) Ezt kiegészíti egy 1ms értékű (16 biten 256) ofszet Ennek képzése: {r13,r12} = {0000_0001_SW[7:0]} A teljes lehetséges értéktartomány: Ezt komparáljuk a ig futó periódusidő számlálóval, és így egy 1ms és 2ms közötti pulzust kaphatunk. Digit labor 11., (v1.0) 26

28 Lab11_2 feladat: A TIMER ISR rutin Az előzően felsorolt műveletek a TIMER ISR rutinban történnek A jelet a GPIO_C[1] lábon adjuk ki Ellenőrizzük a teljes periódust Az ISR végén töröljük a TOUT flaget és RTI-vel visszatérünk Digit labor 11., (v1.0) 27

29 Lab11_2a feladat: Mikro szervó használata Az elkészített TIMER ISR rutin használatával teszteljük a mikro szervó motor adott időzítési feltételek melletti működési paramétereit Inicializálás után a TIMER elindítja az időzítést és 4 us múlva majd megszakítást kér Ezalatt a főprogram (más fontos dolga nem lévén) beolvasgatja a SW[7:0] értékét, ami a pozíció adat lesz a következő IRQ érvényre jutásakor A program ezt az egy funkciót hajtja végre Digit labor 11., (v1.0) 28

30 Lab11_2b feladat: Mikro szervó használata Az előbbi program kiegészítése, oly módon, hogy a főprogramban, szoftveres időzítést használva a mikro szervó motort fokozatosan végig vezéreljük a MIN MAX vagy a MAX MIN irányban a teljes mozgási tartományban A visszatérés a számláló átfordulása miatt a legnagyobb sebességgel fog történni A szoftveres időzítés számlálója legyen 16 bites, a pozíció számláló értéke 8 bites Az elfordulási sebességeket a számlálók inkrementálási értékével szabályozhatjuk Digit labor 11., (v1.0) 29

31 Lab11_1 feladat: Feladatok értékelése A feladatok elvégzése után az eredmények a Labor_11 eredmények lapon összegezzük! Digit labor 11., (v1.0) 30

Digitális technika (VIMIAA01) Laboratórium 11

Digitális technika (VIMIAA01) Laboratórium 11 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 11 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A megszakításrendszer A

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT A mikroprocesszoros rendszerek

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

A MiniRISC processzor (rövidített verzió)

A MiniRISC processzor (rövidített verzió) BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor (rövidített verzió) Fehér Béla, Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA02 9. hét

Digitális technika VIMIAA02 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Labor 2 Mikrovezérlők

Labor 2 Mikrovezérlők Labor 2 Mikrovezérlők ATMEL AVR - ARDUINO BUDAI TAMÁS 2015. 09. 06. Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 12

Digitális technika (VIMIAA02) Laboratórium 12 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 9. hét Fehér Béla BME MIT Processzor adatstruktúrák

Részletesebben

Karakteres LCD kijelző használata MiniRISC processzoron

Karakteres LCD kijelző használata MiniRISC processzoron Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

OPERÁCIÓS RENDSZEREK. Elmélet

OPERÁCIÓS RENDSZEREK. Elmélet 1. OPERÁCIÓS RENDSZEREK Elmélet BEVEZETÉS 2 Az operációs rendszer fogalma Az operációs rendszerek feladatai Csoportosítás BEVEZETÉS 1. A tantárgy tananyag tartalma 2. Operációs rendszerek régen és most

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

Egyszerű számítógép működése

Egyszerű számítógép működése gyszerű számítógép működése gy Neumann és egy Harvard arcitektúrájú számítógép egyszerűsített blokkvázlatát mutatják az alábbi ábrák. Neumann architektúra cím busz környezet CPU ROM RAM perifériák órajel

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás Megszakítások (Interrupts: IT) Megszakítás fogalma Egy aszinkron jelzés (pl. gomblenyomás) a processzor felé (Interrupt Request: IRQ), hogy valamely

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 14. hét Fehér Béla BME MIT Digitális technika

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK VIMIAA02 14. hét Fehér Béla BME MIT Rövid visszatekintés, összefoglaló

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 8

Digitális technika (VIMIAA01) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

MSP430 programozás Energia környezetben. Szervó motorok vezérlése

MSP430 programozás Energia környezetben. Szervó motorok vezérlése MSP430 programozás Energia környezetben Szervó motorok vezérlése 1 Szervo motorok Felépítés Jelalak 2 Servo programkönyvtár A gyári Servo programkönyvtár max. 8 db szervót kezel, s ezekhez felhasználja

Részletesebben

Az operációs rendszer szerkezete, szolgáltatásai

Az operációs rendszer szerkezete, szolgáltatásai Az operációs rendszer szerkezete, szolgáltatásai Felhasználói programok Rendszerhívások Válaszok Kernel Eszközkezelők Megszakításvezérlés Perifériák Az operációs rendszer szerkezete, szolgáltatásai Felhasználói

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 8

Digitális technika (VIMIAA02) Laboratórium 8 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 8 Fehér Béla Raikovich Tamás,

Részletesebben

PERREKUP DxxTx - HDK10 Rekuperátor vezérlő Használati Utasítás

PERREKUP DxxTx - HDK10 Rekuperátor vezérlő Használati Utasítás PERREKUP DxxTx - HDK10 Rekuperátor vezérlő Használati Utasítás Permanent Kft ver.20130502 Műszaki adatok Hálózati feszültség 220-240V AC / 50Hz Működési hőmérséklettartomány -30 ~ +65 C Maximális relatív

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók.

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók. 5. Laborgyakorlat Számláló funkciók, időzítő funkciók. A gyakorlat célja A számlálók és időzítők használata gyakori a folyamatirányításban. Gondoljunk egy futószalag indításának a késleltetésére, megállításánál

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

T2-CNCUSB vezérlő család hardver segédlet

T2-CNCUSB vezérlő család hardver segédlet T2-CNCUSB vezérlő család hardver segédlet CPU5A Kártyaméret: 100x100mm 3 vagy 4 tengelyes interpoláció, max.125 KHz léptetési frekvencia. Szabványos kimenetek (Főorsó BE/KI, Fordulatszáám: PWM / 0-10V,

Részletesebben

Bevezetés a számítástechnikába

Bevezetés a számítástechnikába Bevezetés a számítástechnikába Megszakítások Fodor Attila Pannon Egyetem Műszaki Informatikai Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010. november 9. Bevezetés Megszakítások

Részletesebben

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191 SIOUX-RELÉ Sioux relé modul telepítési leírás Szerkesztés 1.2 20MACIE0191 1 Leírás 1.1 Leírás A Sioux-relé egy soros modul, amely tartalmaz egy master kártyát, amely maximum két slave kártyával bővíthető.

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások

Mechatronika és mikroszámítógépek. 2018/2019 I. félév. Külső megszakítások Mechatronika és mikroszámítógépek 2018/2019 I. félév Külső megszakítások Megszakítás, Interrupt A megszakítás egy olyan esemény, vagy feltétel teljesülése, amely felfüggeszti a program futását, a vezérlést

Részletesebben

Az vevő- és vezérlőáramkör programja

Az vevő- és vezérlőáramkör programja Az vevő- és vezérlőáramkör programja Központizár-vezérlés - IR vevő- és vezérlőáramkör INCLUDE 89C2051.mc ******************************************************************************** VÁLTOZÓK ********************************************************************************

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017)

Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztés mikroprocesszoros buszra (áramkörön belüli szinkron busz esetén) KÓDMEMÓIA

Részletesebben

Roger UT-2. Kommunikációs interfész V3.0

Roger UT-2. Kommunikációs interfész V3.0 ROGER UT-2 1 Roger UT-2 Kommunikációs interfész V3.0 TELEPÍTŐI KÉZIKÖNYV ROGER UT-2 2 ÁLTALÁNOS LEÍRÁS Az UT-2 elektromos átalakítóként funkcionál az RS232 és az RS485 kommunikációs interfész-ek között.

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

TM-73733 Szervó vezérlő és dekóder

TM-73733 Szervó vezérlő és dekóder TM-73733 Szervó vezérlő és dekóder Használati útmutató 2011 BioDigit Ltd. Minden jog fenntartva. A dokumentum sokszorosítása, tartalmának közzététele bármilyen formában, beleértve az elektronikai és mechanikai

Részletesebben

Digitális technika VIMIAA01 5. hét

Digitális technika VIMIAA01 5. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 5. hét Fehér Béla BME MIT Sorrendi logikák

Részletesebben

HSS60 ( ) típusú léptetőmotor meghajtó

HSS60 ( ) típusú léptetőmotor meghajtó HSS60 (93.034.027) típusú léptetőmotor meghajtó Jellemzők Teljesen zárt kör Alacsony motorzaj Alacsony meghajtó és motormelegedés Gyors válaszidő, nagy motorsebesség Optikailag leválasztott ki és bemenetek

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán

A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán Fehér Béla BME MIT atórium

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

The modular mitmót system. DPY kijelző kártya C API

The modular mitmót system. DPY kijelző kártya C API The modular mitmót system DPY kijelző kártya C API Dokumentációkód: -D 01.0.0.0 Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Beágyazott Információs Rendszerek

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

RUBICON Serial IO kártya

RUBICON Serial IO kártya RUBICON Serial IO kártya Műszaki leírás 1.0 Készítette: Forrai Attila Jóváhagyta: Rubin Informatikai Zrt. 1149 Budapest, Egressy út 17-21. telefon: +361 469 4020; fax: +361 469 4029 e-mail: info@rubin.hu;

Részletesebben

Programozható Logikai Vezérlő

Programozható Logikai Vezérlő 4. előadás Tartalom: A feladata A felépítése, típusai, részegységei Programnyelvek Programozás (FST) FESTO -k bemutatása (FEC20-DC, ) FEC programozása FST bemutatása Automatizálástechnika I. előadás Farkas

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

AC-MF1W. RFID kártyaolvasó és kódzár. Felhasználói leírás

AC-MF1W. RFID kártyaolvasó és kódzár. Felhasználói leírás AC-MF1W RFID kártyaolvasó és kódzár Felhasználói leírás Tartalomjegyzék 1. Leírás... 3 1.1 Tulajdonságok... 3 1.2 Műszaki adatok... 3 1.3 Felszerelés... 3 1.4 Csatlakoztatás... 4 1.4.1 Bekötés... 4 1.4.2

Részletesebben

Perifériakezelési módszerek (Korrigált) Feltétel nélküli

Perifériakezelési módszerek (Korrigált) Feltétel nélküli INPUT-OUTPUT I-II. Tartalom INPUT-OUTPUT I-II.... 1 Perifériakezelési módszerek (Korrigált)... 2 A közvetlen memória hozzáférés (DMA)... 4 Feladatok:... 10 A megszakítás... 12 Az Intel 8259 IT vezérlő

Részletesebben

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között -0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez -1 Kommunikáció LG PMU és LG GLOFA-GM / GM között -1-1 PLC programozó csatlakozója ( CPU loader port ) -1- PLC beépített C-NET csatlakozója (CPU C-net) -1-

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

micron s e c u r i t y p r o d u c t s EzeProx proximity kártyaolvasó és kódbillentyűzet

micron s e c u r i t y p r o d u c t s EzeProx proximity kártyaolvasó és kódbillentyűzet micron s e c u r i t y p r o d u c t s EzeProx proximity kártyaolvasó és kódbillentyűzet Jellemzők - 500 kártya vagy kulcstartós kártya tanítható meg akár vegyesen is - 30 programozható, maximum 6 számjegyű

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD

ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Misák Sándor ATMEL ATMEGA MIKROVEZÉRLŐ-CSALÁD Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.02.13.) 1. előadás 1. Általános ismeretek. 2. Sajátos tulajdonságok. 3. A processzor jellemzői.

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 HIRDETMÉNY 4. hét Órarendi részletek, hivatalos

Részletesebben

Digitális rendszerek. Mikroarchitektúra szintje

Digitális rendszerek. Mikroarchitektúra szintje Digitális rendszerek Mikroarchitektúra szintje Mikroarchitektúra Jellemzők A digitális logika feletti szint Feladata az utasításrendszer-architektúra szint megalapozása, illetve megvalósítása Példa Egy

Részletesebben

TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó

TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó Bevezetés A TxBlock-USB érzékelőfejbe építhető, kétvezetékes hőmérséklet távadó, 4-20mA kimenettel. Konfigurálása egyszerűen végezhető el, speciális

Részletesebben

Panel bekötési pontok:

Panel bekötési pontok: Panel bekötési pontok: 1.-2. Közös pont minden be és kimenethez 3. 24Vac, 7W terhelhetőségű kimenet külső eszközök táplálásához 4.-5. Közös pont minden be és kimenethez 6. 24Vac 10W kimenet figyelmeztető

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében

SR mini PLC Modbus illesztő modul. Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében SR mini PLC Modbus illesztő modul Modul beállítása Bemeneti pontok kiosztása főmodul esetén Bemeneti pontok címkiosztása kiegészítő modul esetében Kimeneti pontok címkiosztása főmodul esetében, olvasásra

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése MicroBlaze processzor Fehér Béla Raikovich Tamás

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 10. hét Fehér Béla BME MIT Processzor utasítás

Részletesebben

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók INV-HU-000 A FB feladata A dokumentáció tartalma Szimbólum A CP1H vagy a CP1L PLC és frekvenciaváltó(k) automatikus kommunikációja: _INVHU000_WriteReadParameter A frekvenciaváltó üzemi paramétereinek írása,

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Szenzorhálózatok programfejlesztési kérdései. Orosz György

Szenzorhálózatok programfejlesztési kérdései. Orosz György Szenzorhálózatok programfejlesztési kérdései Orosz György 2011. 09. 30. Szoftverfejlesztési alternatívák Erőforráskorlátok! (CPU, MEM, Energia) PC-től eltérő felfogás: HW közeli programozás Eszközök közvetlen

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben