SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel"

Átírás

1 SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel Czirkos Zoltán augusztus 26. Kivonat Az ismertetett SystemC modul egy mikroprocesszoros rendszerhez illeszthető megjelenítő perifériaként működik szimulációban ös szöveges és ugyanekkora felbontású grafikus módot támogat (tetrishez, kukacos játékhoz ez épp elég.) Egy mikroprocesszoros rendszerhez könnyen illeszthető: 12 bites címbusszal, 8 bites adatbusszal rendelkezik, és a szokásos chip select, read enable, write enable és reset bemenetekkel. A megjelenítéshez az SDL grafikus könyvtárat használja. A modul a billentyűzet kezelését is segíti: az egyes billentyűk lenyomott állapota ellenőrizhető, illetve a gépelt szöveg pufferelődik, és karakterenként visszaolvasható. A modul használható önállóan is, függvényhívásokon keresztül. 1. Bevezetés A bemutatott SystemC modul arra való, hogy egy szimulált mikroprocesszoros rendszer perifériájaként működjön, lehetővé téve azt, hogy videókimenetet produkáljon a rendszer a szimuláció közben. Nem egy valós, létező perifériát utánoz, hanem kitalált vezérléssel rendelkezik. Szintetizálni nem lehet. A modul a következő képességekkel rendelkezik: ös szöveges képernyő, amely 256 beépített karakterrel rendelkezik, és a VGA kártyákról ismert az IBM437-es kódlapot használja. Fehér alapon fekete betűkkel rajzol. A szöveges képernyő tartalma bájtonként elérhető, írható és olvasható. Kurzor is használható, amelynek pozíciója tetszőlegesen írható és olvasható. A szöveges képernyőre szöveg folytonosan, bájtonként írható. Ebben a módban a modul a kurzor pozícióját automatikusan változtatja, és görgeti is a képernyőt, ha az elérte annak alját. 1

2 1. ábra. Képernyőkép egy futó programról A ös grafikus képernyő mérete megegyezik a szöveges képernyőével. A nagyított pixelek 256 különböző színnel rendelkezhetnek, amelyek a VGA palettát használják. A modul néhány paranccsal is rendelkezik (pl. képernyőtörlés.) A billentyűk lenyomva tartott állapota egyesével lekérdezhető. Rendelkezik továbbá egy karakter pufferrel, amelybe a lenyomott billentyűk kerülnek. Innen a lenyomott billentyűk kódjai egyesével visszaolvashatóak. A kártya a szimulációs idő szerinti 20 ms-onként, azaz szimulációs időbeli másodpercenként 50-szer rajzolja ki a képet. 2. A kártya illesztése A kártya vezérlő jelei a 2. ábrán láthatóak. Az egyes jelek magyarázata a következő: ADDRESS Címbusz, 12 bites bemenet. DATA Adatbusz, 8 bites ki- és bemenet. CSNEG Chip select bemenet, amellyel íráskor és olvasáskor a chip engedélyezhető. Aktív L szintű ez is. READNEG Read bemenet, olvasás engedélyezése. Ennek aktív szintjével a kártya regiszterei és memóriája olvashatók. WRITENEG Chip select bemenet, aktív L szinttel. Engedélyezésével a regiszterek és memória írhatóak. A kártya 12 bites címtartománnyal rendelkezik, azaz 4096 címezhető regisztere van. Illesztésére példát a 2. ábra mutat. 2

3 SysCVideo ADDRESS[0..11] DATA[0..7] CSNEG READNEG WRITENEG 2. ábra. A periféria vezérlő jelei CPU DATA[0..7] ADDRESS[0..15] A[12..15] SysCVideo DATA[0..7] ADDRESS[0..11] READNEG WRITENEG CSNEG READNEG WRITENEG 3. ábra. A periféria illesztése az 0xE000-0xEFFF címre. A SystemC programban a modult nem feltétlenül szükséges ilyen módon illeszteni; a regiszterir() és a regiszterolvas() metódusain keresztül közvetlenül is vezérelhető. 3

4 2.1. Reset jel A reset jel hatására szöveges módba vált az eszköz. Más inicializáció nem történik, és egyébként ez is elhagyható. Ha a jel aktív, akkor más jeleknek nincsen hatása Regiszter írása portműveletekkel Regiszterek írásához ezeket a lépéseket kell tenni: 1. A címbuszra kell tenni a kiválasztott regiszter vagy memóriaterület címét. 2. Engedélyezni, vagyis L szintbe kell állítani a chip select (CSNEG) jelet. (Ez általában a perifériaillesztés módja miatt automatikusan megtörténik.) 3. Az adatbuszra kell tenni az írandó értéket. 4. Engedélyezni kell a write enable (WRITENEG) jelet. A regiszterbe írás a WRITENEG jel lefutó élére történik meg. Ilyenkorra a CSNEG jelnek már aktívvá kell válnia, vagyis a két lefutás nem történhet időben egyszerre. Ezek után a vezérlőjelek visszavehetőek, és a buszokra tett értékekre már nincsen szükség. Például a 0x400 címre az 57 érték a következő SystemC kódrészlettel írható: address. write (0 x400 ) ; csneg. write ( 0 ) ; // chip s e l e c t = aktiv data. write ( 5 7 ) ; writeneg. write ( 0 ) ; // write enable aktiv writeneg. write ( 1 ) ; // write enable i n a k t i v csneg. write ( 1 ) ; 2.3. Regiszter olvasása portműveletekkel Az olvasás lépései: 1. A címbuszra kell tenni a kiválasztott regiszter vagy memóriaterület címét. 2. Engedélyezni kell a chip select (CSNEG) jelet. 3. Engedélyezni kell a read enable (READNEG) jelet, a CSNEG után nem nulla idővel. Amíg a READNEG jel aktív, a regiszterből kapott érték olvasható. Itt is figyelni kell arra, hogy a CSNEG és a READNEG aktívvá válása között kell valamekkora időnek eltelnie. Példaként a 0x400 cím olvasása: 4

5 address. write (0 x400 ) ; csneg. write ( 0 ) ; // chip s e l e c t = aktiv readneg. write ( 0 ) ; // read enable std : : cout << data. read ( ). to_uint ( ) ; // kepernyore readneg. write ( 1 ) ; csneg. write ( 1 ) ; 3. A kártya illesztés nélküli használata A modul használható önállóan is, szignálók bekötése nélkül. Ebben az esetben a SysCVideoStandalone osztályt kell használni, és a perifériaillesztési művelet teljes egészében elhagyható. A kommunikáció a regiszterir() és regiszterolvas() függvények segítségével működik: int sc_main ( int argc, char argv [ ] ) { SysCVideoStandalone scvs ; scvs. r e g i s z t e r i r ( SysCVideo : : ParancsRegCim, 0 ) ; / sz ö veges mód s e t / } /... / A SystemC-t ebben az esetben is a programhoz kell linkelni, de semmi teendő nincsen vele, mindent elintéz a SysCVideoStandalone osztály. 4. A regiszterek címei és használatuk A regiszterek címei a 4. táblázatban láthatóak. Használatuk: Parancs regiszter. Az ide írt bájtok parancsokként működnek, amelyeket a modul végrehajt. Ez a regiszter nem olvasható. Kurzor regiszterek. A kurzor pozíciója állítható be vagy olvasható vissza ezeken keresztül. Lehetséges értékeik X= és Y= ; a (0;0) pont a bal felső sarokban van. Karakter írás regiszter. Az ide írt karaktereket a modul az aktuális kurzorpozícióba írja, és a pozíciót balra, illetve szükség esetén lefelé növeli (mint egy C-s printf.) A képernyő aljára érve a görgetés is automatikusan történik. Az egyetlen értelmezett karakter a 10-es kódú sörtörés (C-ben \n ), amelynek hatására a modul új sort kezd. 5

6 cím név a kódban regiszter olv./írh. 0x000 ParancsRegCim parancs regiszter R 0x001 KurzorXRegCim kurzor x pozíció R/W 0x002 KurzorYRegCim kurzor y pozíció R/W 0x003 KarakterIrCim karakter írás regiszter W 0x004 BillentyuPufCim billentyűzet puffer LSB R 0x005 BillentyuPuf256Cim billentyűzet puffer MSB R 0x100-0x128 BillentyuMatrCim billentyű nyomvatartás R 0x400-0x7E8 SzovegesCim szöveges memória R/W 0x800-0xBE8 GrafikusCim grafikus memória R/W 1. táblázat. A regiszterek címei 4. ábra. Az IBM 437-es kódtábla és a VGA paletta 6

7 Billentyűzet puffer. Az LSB-t olvasva a billentyűzet pufferben eltárolt következő karaktert kapjuk meg. Ha nem volt lenyomott karakter, akkor 0-t ad a regiszter. A billentyűk kódolása az SDL keysym-eknek megfelelő ez Linux rendszereken általában az /usr/include/sdl/sdl_keysym.h fájlban megtalálható, de a legtöbb kód úgy van megválasztva, hogy az ASCII kódoláshoz illeszkedjen. Mivel a vezérlőgombok (nyilak stb.) kódolása 255 feletti értékeket is használ, azok nem férnek el egy bájtban: az LSB regiszter olvasása után a felső bitek az MSB regiszterbe kerülnek, és onnan olvashatóak ki. Billentyű nyomvatartás. A 40 bájtos memóriaterület bitjei az egyes billentyűk lenyomva tartott állapotát mutatják, ahol 1-es bit jelenti a nyomva tartott gombot. Egy adott gombhoz a KEYSYM/8. bájt KEYSYM%8. bitje tartozik, pl. az A billentyűhöz tartozó SDLK_a kódja 93, így a 11. bájt alulról 5. bitje tárolja a hozzá tartozó állapotot. Szöveges memória. A karakteres képernyőn tárolt jelek kódjai, a a 4. ábrának megfelelően. Grafikus memória. A grafikus memória pixeljei a 4. ábra színeinek megfelelően A parancsregiszter A 0x000 (ParancsRegCim) című regiszterbe írt bájtokkal különféle parancsok adhatók az eszköznek: 0 Váltás szöveges módba. 1 Váltás grafikus módba. 2 A szöveges képernyő törlése (szóközökkel feltöltése). 3 A kurzor bal felső sarokba állítása, a (0;0) koordinátába. 4 Grafikus képernyő törlése (feketével feltöltése) Szöveg kiírása: helló világ Az alábbi, hosszabb példában egy szöveget írunk ki a képernyőre, a bal felső sarokba. A szöveg kiírása a karakter író regiszteren keresztül történik, így nincsen szükség a képernyő koordináták számítására. A regiszter minden egyes írásakor egy új karakter jelenik meg a képernyőn. csneg. write ( 0 ) ; // c r s r home parancs address. write ( SysCVideo : : ParancsRegCim ) ; data. write ( 3 ) ; 7

8 writeneg. write ( 0 ) ; writeneg. write ( 1 ) ; char const s t r =" Hello v i l a g! \ nez egy uj sor. " ; for ( int i =0; s t r [ i ]! = 0 ; ++ i ) { address. write ( SysCVideo : : KarakterIrCim ) ; data. write ( s t r [ i ] ) ; writeneg. write ( 0 ) ; writeneg. write ( 1 ) ; } 4.3. Regiszter írása és olvasása metódushívással A regisztereket nem feltétlenül kell portműveleteken keresztül elérni. Ha nem szükséges ilyen alacsony szintű modell, akkor a SyscVideo modul regiszterir() és regiszterolvas() tagfüggvényei közvetlenül is hívhatóak: SC_MODULE( SysCVideo ) { unsigned char r e g i s z t e r o l v a s ( unsigned address ) ; void r e g i s z t e r i r ( unsigned address, unsigned char data ) ; } ; SysCVideo video ( " video " ) ; video. r e g i s z t e r i r (0 x004, 0x12 ) ; Arra figyelni kell, hogy hasonlóan ahhoz, mint amikor portműveletekkel ér el adatot a processzor, ezek a metódushívások sem idempotensek. Több egymás utáni írás vagy olvasás más eredményt adhat, pl. a karaktert író vagy a billentyűt olvasó parancsregiszter esetén. 8

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

The modular mitmót system. DPY kijelző kártya C API

The modular mitmót system. DPY kijelző kártya C API The modular mitmót system DPY kijelző kártya C API Dokumentációkód: -D 01.0.0.0 Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Beágyazott Információs Rendszerek

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

ELSŐ LÉPÉSEK A SZÁMÍTÓGÉPEK RODALMÁBA AMIT A SZÁMÍTÓGÉPEKRŐL TUDNI ÉRDEMES

ELSŐ LÉPÉSEK A SZÁMÍTÓGÉPEK RODALMÁBA AMIT A SZÁMÍTÓGÉPEKRŐL TUDNI ÉRDEMES ELSŐ LÉPÉSEK A SZÁMÍTÓGÉPEK RODALMÁBA AMIT A SZÁMÍTÓGÉPEKRŐL TUDNI ÉRDEMES Számítógép = Univerzális gép! Csupán egy gép a sok közül, amelyik pontosan azt csinálja, amit mondunk neki. Hardver A számítógép

Részletesebben

BELÉPTETŐ RENDSZER TERVEZÉSE

BELÉPTETŐ RENDSZER TERVEZÉSE BELÉPTETŐ RENDSZER TERVEZÉSE Számítógép-architektúrák 1. gyakorlat 2011. szeptember 21., Budapest Dr. Lencse Gábor tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.hu Beléptető rendszer

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Bevezetés az elektronikába

Bevezetés az elektronikába Bevezetés az elektronikába 18. Arduino programozás LCD kijelzők alkalmazása II. rész 1 HD44780 kompatibilis kijelzők A HD44780 vagy kompatibilis vezérlővel ellátott alfanumerikus (csak rögzített karakterképet

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

MSP430 programozás Energia környezetben. LED mátrix vezérlése MAX7219 IC-vel

MSP430 programozás Energia környezetben. LED mátrix vezérlése MAX7219 IC-vel MSP430 programozás Energia környezetben LED mátrix vezérlése MAX7219 IC-vel 1 LED 8x8 mátrix 3 mm-es piros LED-ek 8x8 mátrixba szervezve 1088AS vagy M1388AR típusnál a sorkiválasztó vonal a közös katód

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Programozás. (GKxB_INTM021) Dr. Hatwágner F. Miklós május 6. Széchenyi István Egyetem, Gy r

Programozás. (GKxB_INTM021) Dr. Hatwágner F. Miklós május 6. Széchenyi István Egyetem, Gy r Programozás (GKxB_INTM021) Széchenyi István Egyetem, Gy r 2018. május 6. Parancssori paraméterek Parancssorban történ programindításkor a program nevét követ en szóközökkel elválasztva paraméterek (command

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka

MPLAB IDE - SIM - - Rövid ismertető a használathoz - Kincses Levente 3E22 89/ November 14. Szabadka MPLAB IDE - SIM - - Rövid ismertető a használathoz - 3E22 89/2004 2006. November 14 Szabadka - 2 - Tartalomjegyzék TARTALOMJEGYZÉK 3 SIMULATOR I/O 4 SIMULATOR STIMULUS 4 STIMULUS VEZÉRLŐ (CONTROLLER) 5

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny evopro systems engineering kft. H-1116 Budapest, Hauszmann A. u. 2. XXI. Országos Ajtonyi István Dokumentum státusza Közétett Dokumentum verziószáma v1.0 Felelős személy Kocsi Tamás / Tarr László Jóváhagyta

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Bevezetés a számítástechnikába

Bevezetés a számítástechnikába Bevezetés a számítástechnikába Beadandó feladat, kódrendszerek Fodor Attila Pannon Egyetem Műszaki Informatikai Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010 október 12.

Részletesebben

Programozás. (GKxB_INTM021) Dr. Hatwágner F. Miklós április 4. Széchenyi István Egyetem, Gy r

Programozás. (GKxB_INTM021) Dr. Hatwágner F. Miklós április 4. Széchenyi István Egyetem, Gy r Programozás (GKxB_INTM021) Széchenyi István Egyetem, Gy r 2018. április 4. Számok rendezése Feladat: Fejlesszük tovább úgy a buborék rendez algoritmust bemutató példát, hogy a felhasználó adhassa meg a

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Periféria kezelési módszerek programozott megszakításos MA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Programozott periféria kezelés Közvetlen szoftver ütemezés gyes perifériáknál nincs szükség

Részletesebben

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók

_INVHU000_WriteReadParameter.cxf Frekvenciaváltók INV-HU-000 A FB feladata A dokumentáció tartalma Szimbólum A CP1H vagy a CP1L PLC és frekvenciaváltó(k) automatikus kommunikációja: _INVHU000_WriteReadParameter A frekvenciaváltó üzemi paramétereinek írása,

Részletesebben

Bevezetés a mikrovezérlők programozásába: A PM6025 (7 és 16 szegmenses) LCD kijelző vezérlése

Bevezetés a mikrovezérlők programozásába: A PM6025 (7 és 16 szegmenses) LCD kijelző vezérlése Bevezetés a mikrovezérlők programozásába: A PM6025 (7 és 16 szegmenses) LCD kijelző vezérlése 1 Lab 21 projektek MiniPirate.ino Arduino Mini Pirate, interaktív vizsgálóprogram, amelyet itt az I2C busz

Részletesebben

Programozott soros szinkron adatátvitel

Programozott soros szinkron adatátvitel Programozott soros szinkron adatátvitel 1. Feladat Név:... Irjon programot, mely a P1.0 kimenet egy lefutó élének időpontjában a P1.1 kimeneten egy adatbitet ad ki. A bájt legalacsonyabb helyiértéke 1.

Részletesebben

Pénzügyi algoritmusok

Pénzügyi algoritmusok Pénzügyi algoritmusok A C++ programozás alapjai Folyamok kezelése Fájlok írása/olvasása Folyamok kezelése Szabvány folyamok Eddig Kiírás a szöveges konzolra:

Részletesebben

DKÜ ZRT. A Portál rendszer felületének általános bemutatása. Felhasználói útmutató. Támogatott böngészők. Felületek felépítése. Információs kártyák

DKÜ ZRT. A Portál rendszer felületének általános bemutatása. Felhasználói útmutató. Támogatott böngészők. Felületek felépítése. Információs kártyák A Portál rendszer felületének általános bemutatása Felhasználói útmutató Támogatott böngészők Internet Explorer 9+ Firefox (legújabb verzió) Chrome (legújabb verzió) Felületek felépítése Információs kártyák

Részletesebben

A billentyűzet a legfontosabb adatbeviteli eszköz, mely szinte rögtön a számítógép bekapcsolása után,,életre kel. Segítségével az operációs rendszer

A billentyűzet a legfontosabb adatbeviteli eszköz, mely szinte rögtön a számítógép bekapcsolása után,,életre kel. Segítségével az operációs rendszer Billentyűzet A billentyűzet a legfontosabb adatbeviteli eszköz, mely szinte rögtön a számítógép bekapcsolása után,,életre kel. Segítségével az operációs rendszer automatikusan letöltődik, de betöltése

Részletesebben

AC-MF1W. RFID kártyaolvasó és kódzár. Felhasználói leírás

AC-MF1W. RFID kártyaolvasó és kódzár. Felhasználói leírás AC-MF1W RFID kártyaolvasó és kódzár Felhasználói leírás Tartalomjegyzék 1. Leírás... 3 1.1 Tulajdonságok... 3 1.2 Műszaki adatok... 3 1.3 Felszerelés... 3 1.4 Csatlakoztatás... 4 1.4.1 Bekötés... 4 1.4.2

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

Mutatók és mutató-aritmetika C-ben március 19.

Mutatók és mutató-aritmetika C-ben március 19. Mutatók és mutató-aritmetika C-ben 2018 március 19 Memória a Neumann-architektúrában Neumann-architektúra: a memória egységes a címzéshez a természetes számokat használjuk Ugyanabban a memóriában van:

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Grafikus csővezeték és az OpenGL függvénykönyvtár

Grafikus csővezeték és az OpenGL függvénykönyvtár Grafikus csővezeték és az OpenGL függvénykönyvtár 1 / 32 A grafikus csővezeték 3D-s színtér objektumainak leírása primitívekkel: pontok, élek, poligonok. Primitívek szögpontjait vertexeknek nevezzük Adott

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése MicroBlaze processzor Fehér Béla Raikovich Tamás

Részletesebben

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt BME Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt Visual Studio, SystemC, SDL Tóth Gergely Endre 2013.03.18. 1 Bevezetés Ebben a dokumentumban leírom, hogy hogyan lehet

Részletesebben

Informatika érettségi vizsga

Informatika érettségi vizsga Informatika 11/L/BJ Informatika érettségi vizsga ÍRÁSBELI GYAKORLATI VIZSGA (180 PERC - 120 PONT) SZÓBELI SZÓBELI VIZSGA (30 PERC FELKÉSZÜLÉS 10 PERC FELELET - 30 PONT) Szövegszerkesztés (40 pont) Prezentáció-készítés

Részletesebben

Programozási nyelvek 6. előadás

Programozási nyelvek 6. előadás Programozási nyelvek 6. előadás Szempontok Programozási nyelvek osztályozása Felhasználói kör (amatőr, professzionális) Emberközelség (gépi nyelvektől a természetes nyelvekig) Számítási modell (hogyan

Részletesebben

A számítógép egységei

A számítógép egységei A számítógép egységei A számítógépes rendszer két alapvető részből áll: Hardver (a fizikai eszközök összessége) Szoftver (a fizikai eszközöket működtető programok összessége) 1.) Hardver a) Alaplap: Kommunikációt

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Mrend X Extra 3.0 b. - menetrendszerkesztő program leírása -

Mrend X Extra 3.0 b. - menetrendszerkesztő program leírása - 01 Mrend X Extra 3.0 b - menetrendszerkesztő program leírása - A programmal mobiltelefonra, Java 2ME nyelven írt alkalmazásokat futtató készülékre szerkeszthető menetrend. http://mobilmenetrend.hu R-dei

Részletesebben

Programozás alapjai. 10. előadás

Programozás alapjai. 10. előadás 10. előadás Wagner György Általános Informatikai Tanszék Pointerek, dinamikus memóriakezelés A PC-s Pascal (is) az IBM PC memóriáját 4 fő részre osztja: kódszegmens adatszegmens stackszegmens heap Alapja:

Részletesebben

Digitális rendszerek. Mikroarchitektúra szintje

Digitális rendszerek. Mikroarchitektúra szintje Digitális rendszerek Mikroarchitektúra szintje Mikroarchitektúra Jellemzők A digitális logika feletti szint Feladata az utasításrendszer-architektúra szint megalapozása, illetve megvalósítása Példa Egy

Részletesebben

Bevezetés a programozásba I.

Bevezetés a programozásba I. Bevezetés a programozásba I. 6. gyakorlat C++ alapok, szövegkezelés Surányi Márton PPKE-ITK 2010.10.12. Forrásfájlok: *.cpp fájlok Fordítás: a folyamat, amikor a forrásfájlból futtatható állományt állítunk

Részletesebben

Digitális rendszerek. Digitális logika szintje

Digitális rendszerek. Digitális logika szintje Digitális rendszerek Digitális logika szintje CPU lapkák Mai modern CPU-k egy lapkán helyezkednek el Kapcsolat a külvilággal: kivezetéseken (lábak) keresztül Cím, adat és vezérlőjelek, ill. sínek (buszok)

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

JIM JM.3, JM.4 garázskapu mozgató szett CP.J3 vezérléssel

JIM JM.3, JM.4 garázskapu mozgató szett CP.J3 vezérléssel 1 JIM JM.3, JM.4 garázskapu mozgató szett CP.J3 vezérléssel Felszerelési javaslat: JIM garázskapu mozgató szett 2 Technikai adatok: JM.3 JM.4 Tápfeszültség: 230Vac 230Vac Motor: 24Vdc 24Vdc Teljesítmény:

Részletesebben

JIM garázskapu mozgató szett JIM. JM.3, JM.4 garázskapu mozgató szett CP.J3 vezérléssel

JIM garázskapu mozgató szett JIM. JM.3, JM.4 garázskapu mozgató szett CP.J3 vezérléssel 1 JIM JM.3, JM.4 garázskapu mozgató szett CP.J3 vezérléssel Felszerelési javaslat: JIM garázskapu mozgató szett 2 Technikai adatok: JM.3 JM.4 Tápfeszültség: 230Vac 230Vac Motor: 24Vdc 24Vdc Teljesítmény:

Részletesebben

Karakteres LCD kijelző használata MiniRISC processzoron

Karakteres LCD kijelző használata MiniRISC processzoron Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte

Részletesebben

18. Szövegszerkesztők

18. Szövegszerkesztők 18. Szövegszerkesztők A szövegszerkesztés olyan számítógépes művelet, amelynek során később nyomtatásban megjelenő szövegegységeket, dokumentumokat hozunk létre, majd azokat papírra kinyomtatjuk. A különböző

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny 2015. április 10. Pécs 2. Technológiai Programozó Feladat Feladatkiírás Tartalomjegyzék 1. I/O Lista... 3 2. Általános információk...

Részletesebben

elektronikus adattárolást memóriacím

elektronikus adattárolást memóriacím MEMÓRIA Feladata A memória elektronikus adattárolást valósít meg. A számítógép csak olyan műveletek elvégzésére és csak olyan adatok feldolgozására képes, melyek a memóriájában vannak. Az információ tárolása

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő 1 Lab 20 projektek MiniPirate.ino Arduino Mini Pirate interaktív vizsgálóprogram, amelyet most az I2C busz kézivezérlésére

Részletesebben

A számítógépek felépítése. A számítógép felépítése

A számítógépek felépítése. A számítógép felépítése A számítógépek felépítése A számítógépek felépítése A számítógépek felépítése a mai napig is megfelel a Neumann elvnek, vagyis rendelkezik számoló egységgel, tárolóval, perifériákkal. Tápegység 1. Tápegység:

Részletesebben

A LOGSYS rendszer ismertetése

A LOGSYS rendszer ismertetése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS rendszer ismertetése Raikovich Tamás BME MIT atórium A LOGSYS

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

1. ábra: Perifériára való írás idődiagramja

1. ábra: Perifériára való írás idődiagramja BELÉPTETŐ RENDSZER TERVEZÉSE A tárgy első részében tanult ismeretek részbeni összefoglalására tervezzük meg egy egyszerű mikroprocesszoros rendszer hardverét, és írjuk meg működtető szoftverét! A feladat

Részletesebben

Programozás BMEKOKAA146. Dr. Bécsi Tamás 8. előadás

Programozás BMEKOKAA146. Dr. Bécsi Tamás 8. előadás Programozás BMEKOKAA146 Dr. Bécsi Tamás 8. előadás Visszatekintés A Windows Console alkalmazások egy karakteres képernyőt biztosítottak, ahol a kimenet a kiírt szöveg, míg a bemenet a billentyűzet volt.

Részletesebben

Pontfelhő létrehozás és használat Regard3D és CloudCompare nyílt forráskódú szoftverekkel. dr. Siki Zoltán

Pontfelhő létrehozás és használat Regard3D és CloudCompare nyílt forráskódú szoftverekkel. dr. Siki Zoltán Pontfelhő létrehozás és használat Regard3D és CloudCompare nyílt forráskódú szoftverekkel dr. Siki Zoltán siki.zoltan@epito.bme.hu Regard3D Nyílt forráskódú SfM (Structure from Motion) Fényképekből 3D

Részletesebben

Felhasználói kézikönyv

Felhasználói kézikönyv Standalone Kódzár Beléptető rendszer Felhasználói kézikönyv S, K és N1 szériához Kérem olvassa el figyelmesen telepítés előtt 1. Csomaglista Név Mennyiség Kódzár 1 Felhasználói kézikönyv 1 Szerszám 1 Tipli

Részletesebben

Mesh generálás. IványiPéter

Mesh generálás. IványiPéter Mesh generálás IványiPéter drview Grafikus program MDF file-ok szerkesztéséhez. A mesh generáló program bemenetét itt szerkesztjük meg. http://www.hexahedron.hu/personal/peteri/sx/index.html Pont létrehozásához

Részletesebben

Útmutató EDC kézivezérlőhöz

Útmutató EDC kézivezérlőhöz Útmutató EDC kézivezérlőhöz ALAPFUNKCIÓK A kézivezérlő használata során állítsa az EDC vezérlő előlapján található forgó kapcsolót 0 állásba. Ezáltal a felhasználó a kézivezérlő segítségével férhet hozzá,

Részletesebben

Megnevezés Leírás Megjegyzés Irodai PC

Megnevezés Leírás Megjegyzés Irodai PC 1 Irodai PC Közepes PC 1 Közepes PC 2 Processzor: Intel Core i5; memória: 4 GB; merevlemez: 500GB HDD; optikai meghajtó: DVD-ROM; USB 3.0; billentyűzet; HUN; MS SMS client; SAMI client. Processzor: Intel

Részletesebben

6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes.

6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes. 6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes. Neumann elv: Külön vezérlő és végrehajtó egység van Kettes

Részletesebben

Az egér, a botkormány (joystick) és a nyomtató programozása DOS-ban

Az egér, a botkormány (joystick) és a nyomtató programozása DOS-ban Az egér, a botkormány (joystick) és a nyomtató programozása DOS-ban Az egér, a joystick és a nyomtató egyre elterjedtebb segédeszközzé vált a számítógép felhasználásában. Napjaink Windows alapú programjai

Részletesebben

PLC Versenyfeladat. XIV. Országos Irányítástechnikai Programozó Verseny Budapest, március Összeállította az EvoPro Kft.

PLC Versenyfeladat. XIV. Országos Irányítástechnikai Programozó Verseny Budapest, március Összeállította az EvoPro Kft. PLC Versenyfeladat XIV. Országos Irányítástechnikai Programozó Verseny Budapest, 2008. március 19-21. Összeállította az EvoPro Kft. Általános bemutatás A feladatban szereplő eszköz egy 8x8 képpontos LED-mátrix

Részletesebben

Programozás alapjai gyakorlat. 2. gyakorlat C alapok

Programozás alapjai gyakorlat. 2. gyakorlat C alapok Programozás alapjai gyakorlat 2. gyakorlat C alapok 2016-2017 Bordé Sándor 2 Forráskód, fordító, futtatható állomány Először megírjuk a programunk kódját (forráskód) Egyszerű szövegszerkesztőben vagy fejlesztőkörnyezettel

Részletesebben

Programozási nyelvek a közoktatásban alapfogalmak I. előadás

Programozási nyelvek a közoktatásban alapfogalmak I. előadás Programozási nyelvek a közoktatásban alapfogalmak I. előadás Szempontok Programozási nyelvek osztályozása Felhasználói kör (amatőr, professzionális) Emberközelség (gépi nyelvektől a természetes nyelvekig)

Részletesebben

II. Mérés SZÉCHENYI ISTVÁN EGYETEM GYŐR TÁVKÖZLÉSI TANSZÉK

II. Mérés SZÉCHENYI ISTVÁN EGYETEM GYŐR TÁVKÖZLÉSI TANSZÉK Mérési Utasítás Linux/Unix jogosultságok és fájlok kezelése Linux fájlrendszerek és jogosultságok Linux alatt, az egyes fájlokhoz való hozzáférések szabályozása érdekében a fájlokhoz tulajdonost, csoportot

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

MODULATOR Számítógépes program Commodore 64-re a párhuzamos rezgések összetételének tanulmányozására

MODULATOR Számítógépes program Commodore 64-re a párhuzamos rezgések összetételének tanulmányozására MODULATOR Számítógépes program Commodore 64-re a párhuzamos rezgések összetételének tanulmányozására Radványi péter Eötvös Loránd Tudományegyetem Fonetikai Tanszék A Fonetikai Tanszéken az elmúlt években

Részletesebben

TestLine - GINOP teszt Minta feladatsor

TestLine - GINOP teszt Minta feladatsor GINOP képzés szintfelmérő tesztje Mit lehet a HTML-el csinálni 1. 1:10 Könnyű emutatót készíteni Weblapot készíteni Jósolni Szöveget szerkeszteni Melyek tartoznak az operációs rendszer alapvető feladatai

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

2. modul - Operációs rendszerek

2. modul - Operációs rendszerek 2. modul - Operációs rendszerek Érvényes: 2009. február 1-jétől Az alábbiakban ismertetjük a 2. modul (Operációs rendszerek) syllabusát, amely az elméleti és gyakorlati modulvizsga követelményrendszere.

Részletesebben

Rendszerszintű tervezés: SystemC I.

Rendszerszintű tervezés: SystemC I. Rendszerszintű tervezés: SystemC I. Czirkos Zoltán BME EET 2016. február 24. Miről lesz szó? Magas szintű tervezés programozási nyelvek segítségével HDL leírás (Verilog / VHDL) is emlékeztet egy programra

Részletesebben

Számításelmélet. Második előadás

Számításelmélet. Második előadás Számításelmélet Második előadás Többszalagos Turing-gép Turing-gép k (konstans) számú szalaggal A szalagok mindegyike rendelkezik egy független író / olvasó fejjel A bemenet az első szalagra kerül, a többi

Részletesebben

prolan rcm Felhasználói kézikönyv

prolan rcm Felhasználói kézikönyv prolan rcm Felhasználói kézikönyv Tartalomjegyzék A készülékről általában................... 4 Felépítés, tartozékok.....................5 Menürendszer.........................6 Hosszúhullámú rádió adó-vevő..............8

Részletesebben

HTML é s wéblapféjlészté s

HTML é s wéblapféjlészté s HTML é s wéblapféjlészté s 1. Melyik országból ered a hipertext-es felület kialakítása? USA Japán Svájc 2. Webfejlesztéskor ha a site-on belül hivatkozunk egy file-ra, akkor az elérési útnak... relatívnak

Részletesebben

ELTE SAP Excellence Center Oktatóanyag 1

ELTE SAP Excellence Center Oktatóanyag 1 Oktatóanyag 1 A dataset egy az alkalmazás-szerveren megtalálható illetve ott létrejövő szekvenciális fájl. Szerveroldali fájlkezelésre használják az SAP-ban. Megjegyzés: Amennyiben kliens oldalon található

Részletesebben

Balaton Marcell Balázs. Assembly jegyzet. Az Assembly egy alacsony szintű nyelv, mely a gépi kódú programozás egyszerűsítésére született.

Balaton Marcell Balázs. Assembly jegyzet. Az Assembly egy alacsony szintű nyelv, mely a gépi kódú programozás egyszerűsítésére született. Balaton Marcell Balázs Assembly jegyzet Az Assembly egy alacsony szintű nyelv, mely a gépi kódú programozás egyszerűsítésére született. 1. Regiszterek Regiszterek fajtái a. Szegmensregiszterek cs (code):

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

A számítógép. A számítógép olyan, mint az ószövetségi Isten számos szabály, és semmi könyörület! A számítógép olyan, mint az ószövetségi Isten

A számítógép. A számítógép olyan, mint az ószövetségi Isten számos szabály, és semmi könyörület! A számítógép olyan, mint az ószövetségi Isten A számítógép A számítógép olyan, mint az ószövetségi Isten A számítógép olyan, mint az ószövetségi Isten számos szabály, és semmi könyörület! számos szabály, és semmi könyörület! SZÁMíTÓGÉP HARDWARE (hardver)

Részletesebben

assume CS:Code, DS:Data, SS:Stack Start mov dl, 100 mov dh, 100 push dx Rajz

assume CS:Code, DS:Data, SS:Stack Start mov dl, 100 mov dh, 100 push dx Rajz Feladat5: rajzolo.asm Feladat meghatározása A feladat célja bemutatni egy egyszerű grafikai program segítségével a közvetlen címzést (grafikus VGA 320*200). A program a kurzor mozgató gombok segítségével

Részletesebben

Nyíregyházi Egyetem Matematika és Informatika Intézete. Input/Output

Nyíregyházi Egyetem Matematika és Informatika Intézete. Input/Output 1 Input/Output 1. I/O műveletek hardveres háttere 2. I/O műveletek szoftveres háttere 3. Diszkek (lemezek) ------------------------------------------------ 4. Órák, Szöveges terminálok 5. GUI - Graphical

Részletesebben

Használati utasítás Prestigio Nobile PER3562. Alapvető műveletek

Használati utasítás Prestigio Nobile PER3562. Alapvető műveletek Használati utasítás Alapvető műveletek Nyomja meg és tartsa benyomva a Power gombot a készülék bekapcsolásához. A készülék automatikusan belép a főmenübe a Kezdő képernyőn az üdvözlő képernyő után. A főmenü

Részletesebben

Operációs rendszerek. 3. gyakorlat. Jogosultságkezelés, linkelés, csővezeték UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED

Operációs rendszerek. 3. gyakorlat. Jogosultságkezelés, linkelés, csővezeték UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED Jogosultságkezelés, linkelés, csővezeték Operációs rendszerek 3. gyakorlat Szegedi Tudományegyetem Természettudományi és Informatikai Kar Csuvik

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

A számítógép fő részei

A számítógép fő részei Hardver ismeretek 1 A számítógép fő részei 1. A számítógéppel végzett munka folyamata: bevitel ==> tárolás ==> feldolgozás ==> kivitel 2. A számítógépet 3 fő részre bonthatjuk: központi egységre; perifériákra;

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben