Logi-termikus szimuláció sztenderd tervező rendszerekben

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Logi-termikus szimuláció sztenderd tervező rendszerekben"

Átírás

1 Logi-termikus szimuláció sztenderd tervező rendszerekben Timár András Témavezető: Dr. Rencz Márta, egyetemi tanár BME, Elektronikus Eszközök Tanszéke Doktori értekezés tanszéki vitája Budapest, július 11. Timár András Logi-termikus szimuláció július 11.

2 Célkitűzések, motiváció A melegedés megváltoztatja a működést az elektromos áramkörökben, ezzel már tervezéskor számolni kell! Az elektro-termikus szimuláció több absztrakciós szinten végezhető eszköz szint áramkör szint rendszer szint 1 tranzisztor 2-10 tranzisztor kapu Jelenleg a logikai szimulátorok nem veszik figyelembe az eszköz hőmérsékletét és hőtermelését logi-termikus szimuláció. Szükséges a modern mikroelektronikai áramkörök termikus és logikai vizsgálata EDA (Electronic Design Automation) környezetben. FP7-es keretrendszerbeli THERMINATOR integrált projekt Bevezetés Logi-termikus szimuláció 2

3 Logi-termikus szimuláció EDA környezetben EDA Logi-termikus szimuláció Logi-termikus szimuláció 3

4 1. tézis Tézis Új módszert és erre épülő szimulátort dolgoztam ki korszerű, nanoelektronikai sztenderd cellás digitális integrált áramkörök logi-termikus szimulációjára. A módszer segítségével az áramkör felületén működés közben kialakuló és változó hőmérséklet eloszlás nyomon követhető és a kiugróan magas hőmérsékletű területek (hot-spotok) felderíthetőek. A logi-termikus szimuláció a digitális integrált áramkörök cella szintű logikai és termikus együttes szimulációjára és a hőmérsékletfüggő időzítések vizsgálatára ad lehetőséget. [1, 2, 3, 4] EDA Logi-termikus szimuláció 1. tézis 4

5 1.1. altézis Altézis Kidolgoztam egy modern EDA (Electronic Design Automation) környezetekhez illeszkedő, szabványos, logi-termikus elven működő szimulátort. A szimulátor a fogyasztások és időzítések meghatározására olyan adatbázist használ, amely a kvantumfizikai hatásokat is figyelembe veszi. A logikai és termikus szimulátorok összekapcsolása az általam kidolgozott kommunikációs eszköz segítségével egy hardver leíró nyelv szabványos illesztő felületén keresztül történik. A kidolgozott módszer szabványos interfészeken keresztül kommunikál az ipari logikai szimulátorokkal, szabványos bemeneti- és kimeneti fájlformátumokkal dolgozik. A módszer tetszőleges EDA környezethez illeszthető. [1, 5, 6, 7, 8, 9] EDA Logi-termikus szimuláció 1.1. altézis 5

6 A logi-termikus szimuláció EDA Logi-termikus szimuláció 1.1. altézis 6

7 A logi-termikus szimuláció EDA Logi-termikus szimuláció 1.1. altézis 6

8 A logi-termikus szimuláció EDA Logi-termikus szimuláció 1.1. altézis 6

9 A logi-termikus szimuláció EDA Logi-termikus szimuláció 1.1. altézis 6

10 Verilog PLI m o d u l e pli ; Verilog i n i t i a l $celltherm (); e n d m o d u l e 1. kódrészlet. Verilog kód # i n c l u d e < veriuser.h> # i n c l u d e < acc_user.h> C kód PLI_INT32 hello ( PLI_INT32 data, PLI_INT32 reason ) { io_printf (" Hello CellTherm!"); r e t u r n 0; } s_tfcell veriusertfs [] = { { usertask, 0, 0, 0, hello, 0, " $celltherm "}, {0} /* last entry must be 0 */ }; v o i d init_usertfs () { p_tfcell usertf = veriusertfs ; w h i l e ( usertf -> type ) mti_registerusertf ( usertf ++) ; } 2. kódrészlet. PLI C kód EDA Logi-termikus szimuláció Verilog PLI 7

11 Fogyasztási adatbázis Liberty formátum Időzítések, zajparaméterek és disszipációk minden cellára Szabványos adatbázis Ha nincs Liberty adatbázisunk SPICE karakterizáció Példa Liberty fájl: pin (CO) { direction : output ; max_capacitance : 0.32; internal_power () { 5 rise_power ( power_outputs_0 ) { values ( " 0.078, 0.054, , , ",\ " 0.085, 0.061, , , ",\ " 0.096, 0.072, , , ",\ " 0.123, 0.097, 0.009, , "); 10 } EDA Logi-termikus szimuláció Liberty 8

12 1.2. altézis Altézis Az általam kidolgozott módszer a sztenderd cellás áramkör felületét szükség esetén partíciókra bontja és ezekre a partíciókra számolja ki a sztenderd cellák fogyasztásából adódó hőmérsékleteket. Az áramkört felépítő sztenderd cellák számának növekedésével négyzetesen növekszik a termikus modell generálásához szükséges idő. A particionálással a sztenderd cellák számától függetlenné tehető a termikus modell generálás időtartama. A particionálási módszer használata a felhasználó számára opcionális és a termikus szimulációban alkalmazott térbeli felbontás mérete is szabadon megválasztható. Különböző partíció méretek és modell generálási idők vizsgálata alapján kimutattam, hogy a es particionálás a felbontás és a számítási idő szempontjából elfogadható. [10, 11] EDA Logi-termikus szimuláció 1.2. altézis 9

13 Particionálás es partícionálás Cellák helyett hálószerű felbontás A termikus modell generálási ideje a cellák számával négyzetesen növekszik Partícionálással a termikus modell számítása gyorsabb Tetszőleges felosztás: EDA Logi-termikus szimuláció Particionálás 10

14 A particionálás hibája és a modell generálási idő Hiba a particionálás nélküli esethez képest. Particionálás nélkül egy bonyolult áramkör szimulációja 2 hét. Feldolgozandó adatmennyiség: több terrabájt. Partícionálás relatív hibája [%] Particionálás mérete (n n) A particionálás hibája különböző partíció méretek esetén Termikus modell generálás ideje [s] Particionálás mérete (n n) A termikus modell generálásához szükséges idő különböző partíció méretek esetén EDA Logi-termikus szimuláció Particionálás 11

15 1.3. altézis Altézis További módszert dolgoztam ki a logi-termikus szimuláció gyorsítására. Egy modern digitális rendszer termikus időállandói nagyságrendekkel nagyobbak az elektromos időállandóknál (pl. órajel periódusidő). A logikai szimuláció nagy órajel frekvencián hosszú ideig tarthat a termikus állandósult állapot megközelítéséig. A nagyfrekvenciás rendszer működési frekvenciáját lecsökkentve és a kapcsolási tranziensekhez tartozó dinamikus fogyasztást arányosan növelve, a rendszer töredék idő alatt a termikus tranziens tetszőleges állapotába hozható. A kitűzött termikus állapotot elérve az eredeti működési frekvencián és fogyasztási értékekkel folytatható a szimuláció. [12] EDA Logi-termikus szimuláció 1.3. altézis 12

16 A szimuláció gyorsítása Gigahertzes működésnél a nagy termikus időállandók miatt sokáig tart a szimuláció (1-10 sec,1 ns felbontással) Egy skálafaktorral (pl. f = 10) arányosan növelünk meg minden késleltetést és fogyasztást Késleltetés, frekvencia (ν), kapcsolási fogyasztás E = C U 2 P = C U 2 ν P = E ν = 10E P = P 1 10 ν = E ν EDA Logi-termikus szimuláció Gyorsítás 13

17 A skálázással bevitt hiba és a szimuláció időtartama A skálázással bevitt disszipációszámítás kvantálási hibája elhanyagolható (< 0,3 %) A szimuláció időtartama töredékére csökkent A szimuláció időtartama [s] Skálázási faktor EDA Logi-termikus szimuláció Gyorsítás 14

18 Hőmérsékletfüggő időzítések Hőmérsékletfüggő időzítések Logi-termikus szimuláció 15

19 2. tézis Tézis Eljárást dolgoztam ki a sztenderd cellás digitális integrált áramkörök hőmérsékletfüggő időzítéseinek szimuláció közben történő nyomon követésére és az esetleges hőmérsékletfüggő funkcionális meghibásodások felderítésére. A tervezőrendszerekben használt logikai szimulátorok nem veszik figyelembe az áramkör működése közben kialakuló hőmérsékleti viszonyokat. Az általam kidolgozott módszer segítségével az áramkör felületén kialakuló hőmérséklet eloszlás jellege miatt megváltozott időzítési viszonyok meghatározhatók. A módszer működése során a termikus szimulációs eredményeket visszacsatolom a logikai szimulációba az egyes cellák hőmérsékletfüggő késleltetéseként. A módszer segítségével a cellák időzítési viszonyainak változása nyomon követhető. [5, 9, 10, 11] Hőmérsékletfüggő időzítések 2. tézis 16

20 Demonstrációs áramkör gyűrűs rezgőkör I A gyűrűs rezgőkör frekvenciája eltolódik a változó hőmérséklet miatt I Gyűrűs rezgőkör: 1 NAND + 10 inverter 1.50 Frekvencia [GHz] xbuf1 xbuf4 xbuf3 xinv5 xinv4 xinv6 xinv7 xinv8 xbuf2 xinv1 xinv2 xinv3 xinv10 xinv9 xnand Hőmérsékletfüggő időzítések 0 1 Gyűrűs rezgőkör 2 Idő [s]

21 Késleltetés-hőmérséklet függések A inv cella késleltetése [ps] Késleltetések 0 C, 25 C, 65 C-nál Hőmérséklet [ C] Inverter cella késleltetés-hőmérséklet karakterisztikája A nand cella késleltetése [ps] Hőmérséklet [ C] NAND cella késleltetés-hőmérséklet karakterisztikája Hőmérsékletfüggő időzítések Késleltetés-hőmérséklet 18

22 SDF Standard Delay Format 3 hőmérsékleti sarokpontban tárolja el az időzítéseket! (minimum:typical:maximum) A szintézer generálja pre- és post-layout Késleltetések minden cellára, setup/hold idők definíciója, stb. ( PORT R (::0.00) (::0.00) ) (IOPATH CLK Q (0.44:0.47:0.49) (0.50:0.51:0.53)) ( IOPATH R Q (::0.00) (0.57:0.57:0.58) ) Hőmérsékletfüggő időzítések SDF 19

23 Teljesítmény térkép A puffer cellák fogyasztása 1 mw/billenés Az inverterek fogyasztása 1 µw/billenés Hőmérsékletfüggő időzítések Gyűrűs rezgőkör 20

24 Hőmérsékleti térkép A fogyasztási eloszlás alapján kialakult hőmérsékleti térkép Hőmérsékletfüggő időzítések Gyűrűs rezgőkör 21

25 Hőmérsékleti diagram A hőmérséklet növekedésével csökken a rezgőkör frekvenciája Az állandósult hőmérséklet megegyezik a kézzel számolttal (43,7 C) Hőmérséklet [ C] buf1 nand inv Idő [s] Frekvencia [GHz] Idő [s] Hőmérsékletfüggő időzítések Gyűrűs rezgőkör 22

26 SPICE logi-termikus verifikáció Az eltérés 3,5 % alatti Hőmérséklet [ C] CellTherm szimuláció SPICE szimulació Idő [s] Hőmérsékletfüggő időzítések SPICE logi-termikus 23

27 A frekvenciamenet SPICE verifikációja Az eltérés 3,5 % alatti Frekvencia [GHz] SPICE CellTherm Hőmérséklet [ C] Hőmérsékletfüggő időzítések SPICE logi-termikus 24

28 CellTherm verifikációja a SUNRED algoritmussal Verifikáció az EET-n fejlesztett más elvű logi-termikus szimulátorral Az eltérés 1 % alatti Hőmérséklet [ C] Logitherm CellTherm Idő [s] Hőmérsékletfüggő időzítések SUNRED 25

29 Ipari méretű és bonyolultságú áramkör POLITO Torinoi Műszaki Egyetem fejlesztése STMicroelectronics 65 nm-es CMOS technológia Jelfeldolgozó áramkör, 1490 cella Ipari áramkör Logi-termikus szimuláció 26

30 STMicroelectronics áramkör szimulációja Magasság [mm] Pillanatnyi teljesítmény eloszlás (t = 4,56s) Szélesség [mm] Teljesítménysűrűség [ µw ] cm 2 Magasság [mm] Hőmérséklet eloszlás 4,56 másodperc után Szélesség [mm] Hőmérséklet különbség [ C] Ipari áramkör Logi-termikus szimuláció 27

31 Nagy felbontású hőmérsékletfüggő időzítési modell Nagy felbontású modell Logi-termikus szimuláció 28

32 3. tézis Tézis Új időzítési modellt dolgoztam ki, melynek segítségével az integrált áramkörök logitermikus szimulációja során a cellák hőmérséklettől függő időzítéseit hőmérséklet-késleltetés függvényekkel lehet figyelembe venni. A modell megalkotásához a hőmérséklet-késleltetés függvényeket előzetesen minden cellára karakterizálni kell. Javaslatot tettem a Liberty fájlformátum olyan bővítésére, hogy az tartalmazza az új modellt. Az új modell segítségével kimutattam, hogy a jelenleg használatos időzítési modellek bizonyos hőmérsékleti tartományokban nem megfelelően írják le a tényleges hőmérsékletfüggő működést. Az általam kidolgozott modellben az időzítési- és teljesítmény táblázatokat hőmérsékletekkel is lehet paraméterezni. [12, 13] Nagy felbontású modell 3. tézis 29

33 Probléma az SDF modellel A sarokpontok között lineáris interpolációra van szükség Az interpoláció miatt a késleltetések jelentősen eltérhetnek a valós értékektől! Csak a sarokpontoknál (design corners) pontos Nagy felbontású modell SDF probléma 30

34 A nagy felbontású és a linearizált SDF eredmények eltérése Töréspont a linearizált SDF karakterisztikában! A két görbe jellege között jelentős a különbség. Magasabb vagy alacsonyabb hőmérsékleteken a két modell eltérése növekszik Nagy felbontású késleltetések SDF késleltetések Oszcillátor periódusidő [ns] Szimulációs idő [s] Nagy felbontású modell Összehasonlítás 31

35 Az eredmények gyakorlati alkalmazásai Kutatói munkám eredményeit a CellTherm alkalmazásban implementáltam Az eredményeket a THERMINATOR projekt partnerei használták A nemzetközi bírálóbizottság a projektet sikeresnek értékelte Az STMicroelectronics saját ipari áramkörein végez logi-termikus szimulációkat a CellTherm segítségével Az eredmények és a CellTherm alkalmazás szabványos EDA környezetekben használhatók További kutatási irányok Elhelyezés és huzalozás algoritmusok kiegészítése termikus kényszerekkel Az eredmények gyakorlati alkalmazásai Logi-termikus szimuláció 32

36 Publikációk összesítése 5 nemzetközi folyóiratban megjelent lektorált folyóiratcikk 9 nemzetközi konferencián megjelent lektorált konferenciacikk 6 a disszertáció témájához közvetlenül nem kapcsolódó konferencia- és folyóiratcikk Összesen 47,48 pont a BME doktori szabályzata alapján Publikációk összesítése Logi-termikus szimuláció 33

37 Köszönöm Dr. Rencz Márta konzulensemnek Dr. Székely Vladimírnek Dr. Bognár Györgynek Munkatársaimnak, kollégáimnak Családomnak Köszönetnyilvánítás Logi-termikus szimuláció 34

38 Válaszok a bírálók által feltett kérdésekre Válaszok Logi-termikus szimuláció 35

39 Válasz Dr. Arató Péter kérdéseire Kérdés: A hot-spot helyek felderítése a meglévő termikus szimulátoroknak nem része? Hogyan érintik ezek a helyek a cella belső logikai felépítését? A hot-spot helyek felderítése a termikus szimulátor alapvető dolga. A logitermikus szimulátor a cellák belsejét nem látja, és ez nem is szükséges. Szemléletes példaként úgy lehet elképzelni a hőmérsékleti térképet, mint egy rasztergrafikus ábrát. Minden cella egy pixelnek felel meg, és a pixelek összessége adja ki a hőmérséklet eloszlást. A logi-termikus szimulátor a cellákat a működés és felépítés szempontjából fekete doboznak tekinti. A cellák belsejében nem tudunk hőmérsékleti eloszlást meghatározni, minden cellához egy hőmérséklet-érték tartozik, a benne történt fogyasztás szerint. Válaszok Logi-termikus szimuláció 36

40 Válasz Dr. Arató Péter kérdéseire Kérdés: A particionálás méretének meghatározása alapvetően kísérleti úton történt? Igen, a logi-termikus szimulációkat több partíció méretre is lefuttattam és közben mértem a szimuláció futási idejét. Ipari bonyolultságú áramkörön vizsgáltam a felbontás nagyságának és a szimuláció időtartamának kapcsolatát és ezek alapján tettem javaslatot egy elfogadható partíció méretre. Válaszok Logi-termikus szimuláció 37

41 Válasz Dr. Arató Péter kérdéseire Kérdés: A gyorsítást eredményező órajel frekvencia leosztás alapvetően kísérleti úton történt? Igen, a leosztást addig növeltem, amíg elfogadható idejű szimulációs időt kaptam. Minden kipróbált skálafaktor esetében megvizsgáltam a leosztás által bevitt hibát és ez alapján ítéltem meg a skálázás lehetőségét. Válaszok Logi-termikus szimuláció 38

42 Válasz Dr. Arató Péter kérdéseire Kérdés: A melegedésből származó késleltetés-változások visszacsatolása a logikai szimulációba cellán belüli, vagy cellák közötti pótlólagos késleltetések formájában történik? A HDL nyelvi leírás szintjén ez hogyan jelenik meg? A logikai szimuláció ezek után mit jelez vissza a felhasználónak? A hőmérsékleti hatások miatt megváltozott késleltetések visszacsatolása során a cellák módosult késleltetéseit helyettesíti be a szimulátor minden cellába. Tehát a késleltetés-visszacsatolás a cellák belsejében történik meg. A HDL leírás minden cellánál tartalmaz egy időzítési specifikációs részt, melyben a cellák késleltetései és időzítési kényszerei (pl. setup time) vannak deklarálva. Ezeket az értékeket és kényszereket inicializálja a szimulátor az SDF adatbázisból. A szimuláció közben is ezeket az értékeket módosítja a logi-termikus szimulátor a hőmérsékletek függvényében. Bármilyen időzítési hiba esetén a logikai szimulátor jelzi a felhasználónak a hibát, és a szimuláció futását leállítja. Ezt a funkcionalitást a logikai/időzítési szimulátorok beépítve tartalmazzák, a logi-termikus szimulátornak nem kell hibajelzéssel foglalkoznia. Válaszok Pl. Megváltozott hőmérsékletek miatt Logi-termikus megnőttszimuláció késleltetések okozhatnak elő-39

43 Válasz Dr. Arató Péter kérdéseire Kérdés: Az új időzítési modell lényege, hogy függvénnyel és nem csak adott hőmérsékleti pontokon veszi figyelembe a késleltetési értékeket. Hogyan jelennek meg ezek a függvények a logikai HDL leírásban? A»pontos«késleltetési modell hogyan értelmezhető, mint elnevezés? A HDL leírásban sehogyan nem jelennek meg a késleltetés-hőmérséklet függvények. Ezeket a CellTherm szimulátor rögzíti minden cellára és ezek alapján számolja a cellák késleltetéseit a cella hőmérsékletének függvényében. Az időzítési értékeket a szimuláció közben változtatja meg! A pontos késeltetési modellt inkább nagy hőmérsékleti felbontású modellnek célszerű nevezni, mivel lényege, hogy 3 sarokpont helyett C fokonként karakterizált késleltetési görbékkel dolgozik. Válaszok Logi-termikus szimuláció 40

44 Válasz Dr. Keresztes Péter kérdéseire 50 Kérdés: A visszacsatolt és a dolgozatban fázisonként részletezett szimulációs folyamat hogyan mutatja meg egy állandósult gerjesztés során beálló egyensúlyi termikus és időzítési állapotot? Milyen lehetőségek vannak a stacionárius állapot megfigyelésére? 1.52 Hőmérséklet [ C] Állandósult állapot Órajel frekvencia [GHz] Állandósult állapot Idő [s] Idő [s] Lehetőségek a stacionárius állapot megfigyelésére: Hőmérsékleti és jellemző időzítési értékek monitorozása Az értékek egy sávban maradnak-e? Ha igen, akkor az állapot állandósult. Válaszok Logi-termikus szimuláció 41

45 Válasz Dr. Keresztes Péter kérdéseire Kérdés: Világos, hogy a hőmérsékletfüggő késleltetési idők beállítása adatbázisból történik. Nem világos azonban, hogy a disszipáció számításához használ-e a jelölt rendszere teljesítmény-modellt a sztenderd cellák leírására, vagy itt is csak mérések és hálózatszimuláció alapján felvett adatbázist használ? Többféle sztenderd cellás könyvtárat használtam: AMS 0,35 µm, TSMC 0,35 µm (ADK), STMicroelectronics 65 nm NLPM teljesítmény-modell használata AMS esetén CCS használata STMicroelectronics 65 nm esetén TSMC 0,35 esetén nem állt rendelkezésre fogyasztási adatbázis, így SPICE szimulációkkal állítottam elő az adatbázisokat a CCS teljesítmény-modell szabályai szerint Válaszok Logi-termikus szimuláció 42

46 Válasz Dr. Keresztes Péter kérdéseire Kérdés: A két szimuláció a Verilog PLI interfészen át kommunikál egymással. Mi a helyzet más sztenderdnek tekinthető logikai szimulátorok, például a VHDL esetén? Van-e erre a szerzőnek konkrét elképzelése? VHDL VHPI VHDL Procedural Interface ( IEEE Standard VHDL Language Reference) Verilog VPI Verilog Procedural Interface (IEEE Std Verilog HDL, 2001 óta) Verilog DPI Direct Programming Interface (SystemVerilog része, IEEE Std ) Válaszok Logi-termikus szimuláció 43

47 Válasz Dr. Keresztes Péter kérdéseire Kérdés: Mi a magyarázata annak, hogy a logikai szintézis blokkjait mind a 4.1, mind az 5.4 ábrák tartalmazzák? Magasszintű leírás (Verilog, SystemC, VHDL, stb.) Eldo egyedi netlista szimuláció cellára, bemeneti komb.-ra Kezdés Hőmérsékleti térkép meghatározása Szintézis Layout, P&R GDSII, LEF/- DEF, XML kimenet Szintetizált Verilog strukturális leírás Layout adatok Logikai szimulátor (QuestaSim) Tesztkörnyezet készítés, bemenő vektorok, PLI inic. Verilog PLI eseménykezelők CellTherm Energiaértékek kigyűjtése Adatbázis készítés <cella típus>: <Emax >: <E min > Teljesítmény adatok Liberty teljesítmény információ Teljesítmény adatok Szintézis és SDF előállítása SDF regisztráció a logikai szimulátorba és a CellTherm-be Logikai szimuláció, CellTherm meghívása t-nként Aktuális késleltetések meghatározása Késleltetések visszahelyettesítése Logikai szimuláció a következő t-ig Külső hívás Verilog PLI LayTherm termikus szimulátor SDF időzítések Visszahelyettesítés PLI rutinokkal Nem Szimuláció vége? Hőmérséklet eloszlás Hőmérsékletés layout-függő késleltetések Vége Igen A Standard Delay File (SDF) adatbázist a szintézer állítja elő. Fontosnak tartottam hangsúlyozni a 2. tézissel kapcsolatban, hogy az időzítések hőmérsékletfüggését a generált SDF adatbázisból nyerem, ezért a hőmérsékletfüggő időzítési szimulációk előtt az SDF adatbázisnak létre kell jönnie. Az SDF adatokat szimuláció előtt be kell regisztrálni a logikai szimulátorba, különben az időzítéseket nem lehet figyelembe venni. Válaszok Logi-termikus szimuláció 44

48 Válasz Dr. Keresztes Péter kérdéseire Kérdés: Az pontban bemutatott, de nem részletezett termikus helyettesítő képet szimuláló algoritmus miért nem szerepel a tézisek között? R 1 R 2 R k U I U 1 U 2 U k C 1 C 2 C k A szimulációs algoritmus részletes leírása a 3.2. A termikus ekvivalens RC hálózat számítása szakaszban található. A kompakt Foster RC modell csomóponti feszültségeinek (itt hőmérsékleteinek) számítása nem saját eredmény. Válaszok Logi-termikus szimuláció 45

49 46

50 Teljesítmény karakterizáció Bemenő feszültség (n). félperiódus (n + 1). félperiódus Félperiódus 2 Minden cellára P(t) Félperiódus 2 Helyi maximum t Bemeneti meredekséggel és terhelő kapacitással paraméterezve Analóg SPICE szimulátorral P(t) alatti terület energia CMOS-nál megfelelő Helyi maximum 1%-a t T1 T2 Teljesítmény karakterizáció 47

51 A teljesítmény-sűrűség számítása Logikai szimulátorból kapcsolási aktivitás t idő alatti jelváltások száma (n) Kapcsolási (dinamikus) energia E kapcs = T 2 T 1 P(t)dt P t = E kapcs t t alatt fogyasztott teljesítmény minden cellára P átlag = n P t P átlag -gal hívjuk meg a termikus szimulátort A termikus szimulátor minden t időben kiszámítja az eredő hőmérsékleteket t milliszekundumos nagyságrendű Teljesítmény karakterizáció 48

52 Teljesítmény számítása a partíciókban Egy partícióban fogyasztott teljesítmény a benne található cellák teljesítményével arányos 10% 5% p00 p01 p02 P(p00) = 0,05 P(cell1) 21% p10 p20 cell1 p11 p21 p12 p22 64% P(p01) = 0,10 P(cell1) P(p10) = 0,21 P(cell1) P(p11) = 0,64 P(cell1) Teljesítmény karakterizáció 49

53 Publikációk András Timár, György Bognár, András Poppe, and Márta Rencz. Electro-thermal co-simulation of ICs with runtime back-annotation capability. INTERNATIONAL JOURNAL OF MICROELECTRONICS AND COMPUTER SCIENCE, 1(3): , András Timár, András Poppe, and Márta Rencz. A novel approach of logi-thermal simulation methodology and implementation for ASIC designs. pages , Proceedings of the 17th International Conference Mixed Design of Integrated Circuits and Systems (MIXDES 10), June A. Timár, Gy Bognár, A. Poppe, and M. Rencz. Electro-thermal co-simulation of ICs with runtime back-annotation capability. pages , Proceedings of the 16th International Workshop on THERMal INvestigation of ICs and Systems (THERMINIC 10), October Andras Timar and Marta Rencz. Acquiring real-time heating of cells in standard cell designs. In Test Workshop (LATW), th Latin American, pages , April A. Timár and M. Rencz. Studying the influence of chip temperatures on timing integrity using improved power modeling. JOURNAL OF LOW POWER ELECTRONICS, 7(10): , A. Timar and M. Rencz. Real-time heating and power characterization of cells in standard cell designs. MICROELECTRONICS JOURNAL, A. Timár and M. Rencz. Studying the influence of chip temperatures on timing integrity. pages 1 5, Proceedings of the 12th IEEE Latin-American Test Workshop (LATW 11), March A. Timár, Gy Bognár, and M. Rencz. Improved power modeling in logi-thermal simulation. Publikációk pages , Proceedings of the 17th International Workshop on Logi-termikus THERMal INvestigation szimuláció of ICs and Systems (THERMINIC 11), Septem- 50

Logi-termikus szimuláció sztenderd tervező rendszerekben

Logi-termikus szimuláció sztenderd tervező rendszerekben Logi-termikus szimuláció sztenderd tervező rendszerekben Timár András Témavezető: Dr. Rencz Márta, egyetemi tanár BME, Elektronikus Eszközök Tanszéke Doktori értekezés nyilvános vitája Budapest, 2013.

Részletesebben

Logi-termikus szimuláció

Logi-termikus szimuláció Budapesti Műszaki és Gazdaságtudományi Egyetem Logi-termikus szimuláció Dr. Timár András BME, Elektronikus Eszközök Tanszéke 2014. április 22. Dr. Timár András (BME, EET) Logi-termikus szimuláció 2014.

Részletesebben

Mikroelektronikai tervezés

Mikroelektronikai tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Mikroelektronikai tervezés Tervezőrendszerek Egy kis történelem Hogyan is terveztek digitális IC-t pl. az 1970-es években?

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 A MOS inverterek http://www.eet.bme.hu/~poppe/miel/hu/13-mosfet2.ppt http://www.eet.bme.hu Vizsgált absztrakciós szint RENDSZER

Részletesebben

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák A CMOS inverter, alapfogalmak működés, számitások, layout CMOS kapu áramkörök

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék

Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök. Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Integrált áramkörök/2 Digitális áramkörök/1 MOS alapáramkörök Rencz Márta Ress Sándor Elektronikus Eszközök Tanszék Mai témák Az inverter, alapfogalmak Kiürítéses típusú MOS inverter Kapuáramkörök kialakítása

Részletesebben

MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc

MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc BME Elektronikus Eszközök Tanszéke Smart Systems Integration EMMC+ Az EU által támogatott 2 éves mesterképzési

Részletesebben

ÁRAMKÖRÖK SZIMULÁCIÓJA

ÁRAMKÖRÖK SZIMULÁCIÓJA ÁRAMKÖRÖK SZIMULÁCIÓJA Az áramkörök szimulációja révén betekintést nyerünk azok működésébe. Meg tudjuk határozni az áramkörök válaszát különböző gerjesztésekre, különböző üzemmódokra. Végezhetők analóg

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen,

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen, MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc Debrecen, 2017. 01. 03. Név: Neptun kód: Megjegyzések: A feladatok megoldásánál használja a géprajz szabályait, valamint a szabványos áramköri elemeket.

Részletesebben

Bírálói vélemény. Poppe András. Félvezető eszközök multi-domain karakterizációja. című MTA Doktori értekezéséről

Bírálói vélemény. Poppe András. Félvezető eszközök multi-domain karakterizációja. című MTA Doktori értekezéséről Bírálói vélemény Poppe András Félvezető eszközök multi-domain karakterizációja című MTA Doktori értekezéséről A dolgozat analóg és digitális áramkörök koncentrált paraméterű modellezésével foglalkozik,

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk váltakozó-áramú alkalmazásai. Elmélet Az integrált mûveleti erõsítõk váltakozó áramú viselkedését a. fejezetben (jegyzet és prezentáció)

Részletesebben

III. Alapfogalmak és tervezési módszertan SystemC-ben

III. Alapfogalmak és tervezési módszertan SystemC-ben III. Alapfogalmak és tervezési módszertan SystemC-ben A SystemC egy lehetséges válasz és egyben egyfajta tökéletesített, tovább fejlesztett tervezési módszertan az elektronikai tervezés területén felmerülő

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Irányítási struktúrák összehasonlító vizsgálata. Tóth László Richárd. Pannon Egyetem Vegyészmérnöki és Anyagtudományok Doktori Iskola

Irányítási struktúrák összehasonlító vizsgálata. Tóth László Richárd. Pannon Egyetem Vegyészmérnöki és Anyagtudományok Doktori Iskola Doktori (PhD) értekezés tézisei Irányítási struktúrák összehasonlító vizsgálata Tóth László Richárd Pannon Egyetem Vegyészmérnöki és Anyagtudományok Doktori Iskola Témavezetők: Dr. Szeifert Ferenc Dr.

Részletesebben

Számítógépvezérelt irányítás és szabályozás elmélete (Bevezetés a rendszer- és irányításelméletbe, Computer Controlled Systems) 7.

Számítógépvezérelt irányítás és szabályozás elmélete (Bevezetés a rendszer- és irányításelméletbe, Computer Controlled Systems) 7. Számítógépvezérelt irányítás és szabályozás elmélete (Bevezetés a rendszer- és irányításelméletbe, Computer Controlled Systems) 7. előadás Szederkényi Gábor Pázmány Péter Katolikus Egyetem Információs

Részletesebben

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél

Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél Földzaj. Földzaj problémák a nagy meghajtó képességű IC-knél A nagy áram meghajtó képességű IC-nél nagymértékben előjöhetnek a földvezetéken fellépő hirtelen áramváltozásból adódó problémák. Jelentőségükre

Részletesebben

Akusztikus MEMS szenzor vizsgálata. Sós Bence JB2BP7

Akusztikus MEMS szenzor vizsgálata. Sós Bence JB2BP7 Akusztikus MEMS szenzor vizsgálata Sós Bence JB2BP7 Tartalom MEMS mikrofon felépítése és típusai A PDM jel Kinyerhető információ CIC szűrő Mérési tapasztalatok. Konklúzió MEMS (MicroElectrical-Mechanical

Részletesebben

Kutatási beszámoló. 2015. február. Tangens delta mérésére alkalmas mérési összeállítás elkészítése

Kutatási beszámoló. 2015. február. Tangens delta mérésére alkalmas mérési összeállítás elkészítése Kutatási beszámoló 2015. február Gyüre Balázs BME Fizika tanszék Dr. Simon Ferenc csoportja Tangens delta mérésére alkalmas mérési összeállítás elkészítése A TKI-Ferrit Fejlsztő és Gyártó Kft.-nek munkája

Részletesebben

Analóg-digitál átalakítók (A/D konverterek)

Analóg-digitál átalakítók (A/D konverterek) 9. Laboratóriumi gyakorlat Analóg-digitál átalakítók (A/D konverterek) 1. A gyakorlat célja: Bemutatjuk egy sorozatos közelítés elvén működő A/D átalakító tömbvázlatát és elvi kapcsolási rajzát. Tanulmányozzuk

Részletesebben

9. Laboratóriumi gyakorlat NYOMÁSÉRZÉKELŐK

9. Laboratóriumi gyakorlat NYOMÁSÉRZÉKELŐK 9. Laboratóriumi gyakorlat NYOMÁSÉRZÉKELŐK 1.A gyakorlat célja Az MPX12DP piezorezisztiv differenciális nyomásérzékelő tanulmányozása. A nyomás feszültség p=f(u) karakterisztika megrajzolása. 2. Elméleti

Részletesebben

2. Laboratóriumi gyakorlat A TERMISZTOR. 1. A gyakorlat célja. 2. Elméleti bevezető

2. Laboratóriumi gyakorlat A TERMISZTOR. 1. A gyakorlat célja. 2. Elméleti bevezető . Laboratóriumi gyakorlat A EMISZO. A gyakorlat célja A termisztorok működésének bemutatása, valamint főbb paramétereik meghatározása. Az ellenállás-hőmérséklet = f és feszültség-áram U = f ( I ) jelleggörbék

Részletesebben

Mikroelektronikai tervezés tantermi gyakorlat

Mikroelektronikai tervezés tantermi gyakorlat Mikroelektronikai tervezés tantermi gyakorlat Gärtner Péter, Ress Sándor 2010 április 1 Az átcsúszó selejt Előadáson levezetve az átcsúszó selejtre: Y = yield, kihozatal C = fault coverage, hibalefedés

Részletesebben

Oszcillátor tervezés kétkapu leírófüggvényekkel

Oszcillátor tervezés kétkapu leírófüggvényekkel Oszcillátor tervezés kétkapu leírófüggvényekkel (Oscillator design using two-port describing functions) Infokom 2016 Mészáros Gergely, Ladvánszky János, Berceli Tibor October 13, 2016 Szélessávú Hírközlés

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

2. Elméleti összefoglaló

2. Elméleti összefoglaló 2. Elméleti összefoglaló 2.1 A D/A konverterek [1] A D/A konverter feladata, hogy a bemenetére érkező egész számmal arányos analóg feszültséget vagy áramot állítson elő a kimenetén. A működéséhez szükséges

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

1. A VHDL mint rendszertervező eszköz

1. A VHDL mint rendszertervező eszköz 1.1. A gépi tervezés A gépi leíró nyelvek (HDL) célja az egyes termékek egységesítése, logikai szimulációhoz leíró nyelv biztosítása, a terv hierarchikus felépítésének tükrözése és a nagy tervek áttekinthetővé

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

ELEKTRONIKA I. (KAUEL11OLK)

ELEKTRONIKA I. (KAUEL11OLK) Félévi követelmények és beadandó feladatok ELEKTRONIKA I. (KAUEL11OLK) tárgyból a Villamosmérnöki szak levelező tagozat hallgatói számára Óbuda Budapest, 2005/2006. Az ELEKTRONIKA I. tárgy témaköre: Az

Részletesebben

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

10.1. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ 101 ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel történik A feldolgozás előtt az analóg jeleket digitalizálni kell Rendszerint az

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

A KUTATÁS EREDMÉNYEI ZÁRÓJELENTÉS 2004-2006.

A KUTATÁS EREDMÉNYEI ZÁRÓJELENTÉS 2004-2006. ÖNELLENŐRZÉS ÉS FUTÁSIDEJŰ VERIFIKÁCIÓ SZÁMÍTÓGÉPES PROGRAMOKBAN OTKA T-046527 A KUTATÁS EREDMÉNYEI ZÁRÓJELENTÉS 2004-2006. Témavezető: dr. Majzik István Budapesti Műszaki és Gazdaságtudományi Egyetem

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Elektronika alapjai. Témakörök 11. évfolyam

Elektronika alapjai. Témakörök 11. évfolyam Elektronika alapjai Témakörök 11. évfolyam Négypólusok Aktív négypólusok. Passzív négypólusok. Lineáris négypólusok. Nemlineáris négypólusok. Négypólusok paraméterei. Impedancia paraméterek. Admittancia

Részletesebben

2. Hangfrekvenciás mechanikai rezgések vizsgálata jegyzőkönyv. Zsigmond Anna Fizika Bsc II. Mérés dátuma: Leadás dátuma:

2. Hangfrekvenciás mechanikai rezgések vizsgálata jegyzőkönyv. Zsigmond Anna Fizika Bsc II. Mérés dátuma: Leadás dátuma: 2. Hangfrekvenciás mechanikai rezgések vizsgálata jegyzőkönyv Zsigmond Anna Fizika Bsc II. Mérés dátuma: 2008. 09. 24. Leadás dátuma: 2008. 10. 01. 1 1. Mérések ismertetése Az 1. ábrán látható összeállításban

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

Integrált áramkörök/6 ASIC áramkörök tervezése

Integrált áramkörök/6 ASIC áramkörök tervezése Integrált áramkörök/6 ASIC áramkörök tervezése Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/25 Mai témák Integrált áramkörök tervezése Az ASIC tervezés gyakorlata ASIC tervezési technikák Az

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 MOS áramkörök: CMOS áramkörök, konstrukciós kérdések http://www.eet.bme.hu/~poppe/miel/hu/14-cmos.ppt http://www.eet.bme.hu Vizsgált

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ

X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ X. ANALÓG JELEK ILLESZTÉSE DIGITÁLIS ESZKÖZÖKHÖZ Ma az analóg jelek feldolgozása (is) mindinkább digitális eszközökkel és módszerekkel történik. A feldolgozás előtt az analóg jeleket digitalizálni kell.

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 Tervezés FPGA-ra, Verilog, SystemC http://www.eet.bme.hu/~poppe/miel/hu/18-ictervezes3.ppt http://www.eet.bme.hu Tervezés FPGA-ra

Részletesebben

Statisztikai módszerek a skálafüggetlen hálózatok

Statisztikai módszerek a skálafüggetlen hálózatok Statisztikai módszerek a skálafüggetlen hálózatok vizsgálatára Gyenge Ádám1 1 Budapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Számítástudományi és Információelméleti

Részletesebben

Jelgenerátorok ELEKTRONIKA_2

Jelgenerátorok ELEKTRONIKA_2 Jelgenerátorok ELEKTRONIKA_2 TEMATIKA Jelgenerátorok osztályozása. Túlvezérelt erősítők. Feszültségkomparátorok. Visszacsatolt komparátorok. Multivibrátor. Pozitív visszacsatolás. Oszcillátorok. RC oszcillátorok.

Részletesebben

Hőszivattyúk - kompresszor technológiák Január 25. Lurdy Ház

Hőszivattyúk - kompresszor technológiák Január 25. Lurdy Ház Hőszivattyúk - kompresszor technológiák 2017. Január 25. Lurdy Ház Tartalom Hőszivattyú felhasználások Fűtős kompresszor típusok Elérhető kompresszor típusok áttekintése kompresszor hatásfoka Minél kisebb

Részletesebben

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék

Analóg-digitális átalakítás. Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Analóg-digitális átalakítás Rencz Márta/ Ress S. Elektronikus Eszközök Tanszék Mai témák Mintavételezés A/D átalakítók típusok D/A átalakítás 12/10/2007 2/17 A/D ill. D/A átalakítók A világ analóg, a jelfeldolgozás

Részletesebben

Modern Fizika Labor. Értékelés: A mérés dátuma: A mérés száma és címe: Az optikai pumpálás. A beadás dátuma: A mérést végezte:

Modern Fizika Labor. Értékelés: A mérés dátuma: A mérés száma és címe: Az optikai pumpálás. A beadás dátuma: A mérést végezte: Modern Fizika Labor A mérés dátuma: 2005.10.19. A mérés száma és címe: 7. Az optikai pumpálás Értékelés: A beadás dátuma: 2005.10.28. A mérést végezte: Orosz Katalin Tóth Bence Optikai pumpálás segítségével

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Al-Mg-Si háromalkotós egyensúlyi fázisdiagram közelítő számítása

Al-Mg-Si háromalkotós egyensúlyi fázisdiagram közelítő számítása l--si háromalkotós egyensúlyi fázisdiagram közelítő számítása evezetés Farkas János 1, Dr. Roósz ndrás 1 doktorandusz, tanszékvezető egyetemi tanár Miskolci Egyetem nyag- és Kohómérnöki Kar Fémtani Tanszék

Részletesebben

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0

Feszültségszintek. a) Ha egy esemény bekövetkezik akkor az értéke 1 b) Ha nem következik be akkor az értéke 0 Logikai áramkörök Feszültségszintek A logikai rendszerekben az állapotokat 0 ill. 1 vagy H ill. L jelzéssel jelöljük, amelyek konkrét feszültségszinteket jelentenek. A logikai algebrában a változókat nagy

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk egyenáramú jellemzése és alkalmazásai. Elmélet Az erõsítõ fogalmát valamint az integrált mûveleti erõsítõk szerkezetét és viselkedését

Részletesebben

MOTOR HAJTÁS Nagyfeszültségű megszakító

MOTOR HAJTÁS Nagyfeszültségű megszakító Forradalom a megszakító technológiában MOTOR HAJTÁS Nagyfeszültségű megszakító ABB HV Products - Page 1 Mi az a Motor Hajtás? ABB HV Products - Page 2 Energia Átvitel Energia Kioldás Energia Tárolás Energia

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Passzív alkatrészek és passzív áramkörök. Elmélet A passzív elektronikai alkatrészek elméleti ismertetése az. prezentációban található. A 2. prezentáció

Részletesebben

Irányítástechnika Elıadás. A logikai hálózatok építıelemei

Irányítástechnika Elıadás. A logikai hálózatok építıelemei Irányítástechnika 1 6. Elıadás A logikai hálózatok építıelemei Irodalom - Kovács Csongor: Digitális elektronika, 2003 - Zalotay Péter: Digitális technika, 2004 - U. Tiecze, Ch. Schenk: Analóg és digitális

Részletesebben

Az alábbiakban röviden összefoglaljuk, hogy a tudományos iskola milyen eredményeket ért el az OTKA projekt 5 vizsgált területén.

Az alábbiakban röviden összefoglaljuk, hogy a tudományos iskola milyen eredményeket ért el az OTKA projekt 5 vizsgált területén. 49893 OTKA Kutatási pályázat (Nagy megbízhatóságú integrált mikro- és nanorendszerek új tesztelési és vizsgálati módszerei, különös tekintettel az ambient intellegince kihívásaira) Zárójelentés Szakmai

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

Fotovillamos és fotovillamos-termikus modulok energetikai modellezése

Fotovillamos és fotovillamos-termikus modulok energetikai modellezése Fotovillamos és fotovillamos-termikus modulok energetikai modellezése Háber István Ervin Nap Napja Gödöllő, 2016. 06. 12. Bevezetés A fotovillamos modulok hatásfoka jelentősen függ a működési hőmérséklettől.

Részletesebben

NFA Teljesítményszabályozó mérőlánc

NFA Teljesítményszabályozó mérőlánc NFA-06.0 Teljesítményszabályozó mérőlánc Az NFA-06.0 típusú Teljesítményszabályozó mérőlánc egy ionizációs kamra jelét fogadja, és dolgozza fel. Feladata: oktatási, kutatási célra szolgáló nukleáris reaktor

Részletesebben

Intégro CLIA. A klímavezérlő számítógép általános ismertetése

Intégro CLIA. A klímavezérlő számítógép általános ismertetése BRINKMAN HUNGARY KFT. Hódmezővásárhely 6800 Szántó K. J. u. 180. Tel.: (62) 533-260 Fax.: (62) 243-254 Intégro CLIA A klímavezérlő számítógép általános ismertetése Az Integro Clia növényházakban alkalmazható

Részletesebben

TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó

TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó TxBlock-USB Érzékelőfejbe építhető hőmérséklet távadó Bevezetés A TxBlock-USB érzékelőfejbe építhető, kétvezetékes hőmérséklet távadó, 4-20mA kimenettel. Konfigurálása egyszerűen végezhető el, speciális

Részletesebben

Válaszok dr. Nemcsics Ákos, az MTA doktora bírálatára

Válaszok dr. Nemcsics Ákos, az MTA doktora bírálatára Válaszok dr. Nemcsics Ákos, az MTA doktora bírálatára Köszönöm dr. Nemcsics Ákos, az MTA doktora disszertációmra vonatkozó bírálatát, köszönöm az abban megfogalmazott kérdéseket, kritikai megjegyzéseket

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

MÉRÉSI JEGYZŐKÖNYV. A mérés megnevezése: Potenciométerek, huzalellenállások és ellenállás-hőmérők felépítésének és működésének gyakorlati vizsgálata

MÉRÉSI JEGYZŐKÖNYV. A mérés megnevezése: Potenciométerek, huzalellenállások és ellenállás-hőmérők felépítésének és működésének gyakorlati vizsgálata MÉRÉSI JEGYZŐKÖNYV A mérés megnevezése: Potenciométerek, huzalellenállások és ellenállás-hőmérők felépítésének és működésének gyakorlati vizsgálata A mérés helye: Irinyi János Szakközépiskola és Kollégium

Részletesebben

Elektrotermikus mikrorendszerek modellezése és karakterizációja

Elektrotermikus mikrorendszerek modellezése és karakterizációja Budapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Elektrotermikus mikrorendszerek modellezése és karakterizációja Doktori értekezés tézisfüzete Szerző: Témavezető: Szabó

Részletesebben

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat vesszük sorra. Elsőként arra térünk ki, hogy a logikai értékek

Részletesebben

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest?

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Név: Logikai kapuk Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Ha a logikai változókat állású kapcsolókkal helyettesítené, ezek milyen módon való kapcsolásával

Részletesebben

Ph. D. értekezés tézisei

Ph. D. értekezés tézisei Ph. D. értekezés tézisei Szabó István: NAPELEMES TÁPELLÁTÓ RENDSZEREKBEN ALKALMAZOTT NÖVELT HATÁSFOKÚ, ANALÓG MAXIMÁLIS TELJESÍTMÉNYKÖVETŐ ÁRAMKÖR ANALÍZISE Konzulens: dr. Szabó József Budapest, 1997.

Részletesebben

Hangfrekvenciás mechanikai rezgések vizsgálata

Hangfrekvenciás mechanikai rezgések vizsgálata Hangfrekvenciás mechanikai rezgések vizsgálata (Mérési jegyzőkönyv) Hagymási Imre 2007. május 7. (hétfő délelőtti csoport) 1. Bevezetés Ebben a mérésben a szilárdtestek rugalmas tulajdonságait vizsgáljuk

Részletesebben

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak?

1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? Ellenörző kérdések: 1. előadás 1/5 1. előadás 1. Egy lineáris hálózatot mikor nevezhetünk rezisztív hálózatnak és mikor dinamikus hálózatnak? 2. Mit jelent a föld csomópont, egy áramkörben hány lehet belőle,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Akusztikai tervezés a geometriai akusztika módszereivel

Akusztikai tervezés a geometriai akusztika módszereivel Akusztikai tervezés a geometriai akusztika módszereivel Fürjes Andor Tamás BME Híradástechnikai Tanszék Kép- és Hangtechnikai Laborcsoport, Rezgésakusztika Laboratórium 1 Tartalom A geometriai akusztika

Részletesebben

Alkalmazás a makrókanónikus sokaságra: A fotongáz

Alkalmazás a makrókanónikus sokaságra: A fotongáz Alkalmazás a makrókanónikus sokaságra: A fotongáz A fotonok az elektromágneses sugárzás hordozó részecskéi. Spinkvantumszámuk S=, tehát kvantumstatisztikai szempontból bozonok. Fotonoknak habár a spinkvantumszámuk,

Részletesebben

I. A DIGITÁLIS ÁRAMKÖRÖK ELMÉLETI ALAPJAI

I. A DIGITÁLIS ÁRAMKÖRÖK ELMÉLETI ALAPJAI I. A DIGITÁLIS ÁRAMKÖRÖK ELMÉLETI ALAPJAI 1 A digitális áramkörökre is érvényesek a villamosságtanból ismert Ohm törvény és a Kirchhoff törvények, de az elemzés és a tervezés rendszerint nem ezekre épül.

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPOK. Erdei István Grundfos South East Europe Kft.

IRÁNYÍTÁSTECHNIKAI ALAPOK. Erdei István Grundfos South East Europe Kft. IRÁNYÍTÁSTECHNIKAI ALAPOK Erdei István Grundfos South East Europe Kft. Irányítástechnika felosztása Vezérléstechnika Szabályozástechnika Miért szabályozunk? Távhő rendszerek üzemeltetése Ø A fogyasztói

Részletesebben

Hardveres trójai vírusok ASIC és FPGA áramkörökben

Hardveres trójai vírusok ASIC és FPGA áramkörökben Hardveres trójai vírusok és áramkörökben 1 Fogalmak Bevezető Fogalmak, rövidítések Miért kell a HTH-val foglalkozni? Hardveres trójai vírus : a hardver rosszindulatú megváltoztatása, amely speciális feltételek

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek Hobbi Elektronika A digitális elektronika alapjai: További logikai műveletek 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL, 5th.

Részletesebben

Polimerek fizikai, mechanikai, termikus tulajdonságai

Polimerek fizikai, mechanikai, termikus tulajdonságai SZÉCHENYI ISTVÁN EGYETEM ANYAGISMERETI ÉS JÁRMŰGYÁRTÁSI TANSZÉK POLIMERTECHNIKA NGB_AJ050_1 Polimerek fizikai, mechanikai, termikus tulajdonságai DR Hargitai Hajnalka 2011.10.05. BURGERS FÉLE NÉGYPARAMÉTERES

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

Generátor differenciálvédelmi funkció blokk leírása

Generátor differenciálvédelmi funkció blokk leírása Generátor differenciálvédelmi funkció blokk leírása Dokumentum azonosító: V1.2 Budapest, 2015. május A leírás verzió-információja Verzió Dátum Változás Szerkesztette 1.1 2015-05-25 Első verzió, angolból

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

ems2.cp04d [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL

ems2.cp04d [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL ems2.cp04d Felhasználás Az ems2.cp04d egy szabadon programozható

Részletesebben

2.Előadás ( ) Munkapont és kivezérelhetőség

2.Előadás ( ) Munkapont és kivezérelhetőség 2.lőadás (207.09.2.) Munkapont és kivezérelhetőség A tranzisztorokat (BJT) lineáris áramkörbe ágyazva "működtetjük" és a továbbiakban mindig követelmény, hogy a tranzisztor normál aktív tartományban működjön

Részletesebben

permittivitás: tan : ), továbbá a külső gerjesztő mágneses tér erőssége.

permittivitás: tan : ), továbbá a külső gerjesztő mágneses tér erőssége. PROJEKT-ELŐREHALADÁS 2. 2012. 12.02. 2013. 05. 31. 1. Modellkészítés. A használt számítógépes program a Computer Simulation Technology (CST) programcsalád Microwave Studio nevű eszköze. Ebben az alap geometriai

Részletesebben

Hangfrekvenciás mechanikai rezgések vizsgálata

Hangfrekvenciás mechanikai rezgések vizsgálata KLASSZIKUS FIZIKA LABORATÓRIUM 3. MÉRÉS Hangfrekvenciás mechanikai rezgések vizsgálata Mérést végezte: Enyingi Vera Atala ENVSAAT.ELTE Mérés időpontja: 2011. november 23. Szerda délelőtti csoport 1. A

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal

Részletesebben

Rendszerszintű tervezés: SystemC I.

Rendszerszintű tervezés: SystemC I. Rendszerszintű tervezés: SystemC I. Czirkos Zoltán BME EET 2016. február 24. Miről lesz szó? Magas szintű tervezés programozási nyelvek segítségével HDL leírás (Verilog / VHDL) is emlékeztet egy programra

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Mérési hibák 2006.10.04. 1

Mérési hibák 2006.10.04. 1 Mérési hibák 2006.10.04. 1 Mérés jel- és rendszerelméleti modellje Mérési hibák_labor/2 Mérési hibák mérési hiba: a meghatározandó értékre a mérés során kapott eredmény és ideális értéke közötti különbség

Részletesebben

Algoritmusok Tervezése. 6. Előadás Algoritmusok 101 Dr. Bécsi Tamás

Algoritmusok Tervezése. 6. Előadás Algoritmusok 101 Dr. Bécsi Tamás Algoritmusok Tervezése 6. Előadás Algoritmusok 101 Dr. Bécsi Tamás Mi az algoritmus? Lépések sorozata egy feladat elvégzéséhez (legáltalánosabban) Informálisan algoritmusnak nevezünk bármilyen jól definiált

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

Publikációs lista. Gódor Győző. 2008. július 14. Cikk szerkesztett könyvben... 2. Külföldön megjelent idegen nyelvű folyóiratcikk...

Publikációs lista. Gódor Győző. 2008. július 14. Cikk szerkesztett könyvben... 2. Külföldön megjelent idegen nyelvű folyóiratcikk... Publikációs lista Gódor Győző 2008. július 14. Cikk szerkesztett könyvben... 2 Külföldön megjelent idegen nyelvű folyóiratcikk... 2 Nemzetközi konferencia-kiadványban megjelent idegen nyelvű előadások...

Részletesebben