Oé 0, 0 Z. pj J I I C Q4 Q6- Q6. L- cp5«ils273rie. p» D5-Q6 07 UJJ. Sí S2 S3 S4. Si S2 S3 4 M. -MM-M. 54LSé6 54LS*G CTTUJU *7] 54LS273 D7



Hasonló dokumentumok
Kapuáramkörök működése, felépítése, gyártása

A stabil üzemű berendezések tápfeszültségét a hálózati feszültségből a hálózati tápegység állítja elő (1.ábra).

Billenőkörök. Mindezeket összefoglalva a bistabil multivibrátor az alábbi igazságtáblázattal jellemezhető: nem megen

4** A LINA 1 jelzésű félkész áramkör felépítése és alkalmazása DR. BALOGH BÉLÁNÉ-GERGELY ISTVÁN MÉHN MÁRTON MEV. 1. Bevezetés

Az INTEL D-2920 analóg mikroprocesszor alkalmazása

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise.

Digitális technika VIMIAA01

MOS logikai rendszerek statikus és dinamikus tulajdonságai

HÍRADÁSTECHNIKA SZÖVETKEZET

Billenőkörök. Billenő körök

A DRF 13/03-06 típusú digitális mikrohullámú rádiórelé rendszer

MUNKAANYAG. Tordai György. Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása

XXI. Országos Ajtonyi István Irányítástechnikai Programozó Verseny

DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Hobbi Elektronika. Bevezetés az elektronikába: Boole algebra, logikai kifejezések

noannb Számítógépek automatizált tervezése

1. Kombinációs hálózatok mérési gyakorlatai

Vastagréteg hangfrekvenciás oszcillátorok

Digitális kiskapacitású ' 8 GHz-es rádiórendszerek

2. Digitális hálózatok...60

Jelformáló áramkörök vizsgálata Billenő áramkörök vizsgálata (Időkeret: 5óra) Név:

4-1. ábra. A tipikus jelformáló áramkörök (4-17. ábra):

23. ISMERKEDÉS A MŰVELETI ERŐSÍTŐKKEL

5 Egyéb alkalmazások. 5.1 Akkumulátorok töltése és kivizsgálása Akkumulátor típusok

Funkcionális áramkörök vizsgálata

HÍRADÁSTECHNIKAI IPARI KUTATÓ INTÉZET

DT13xx Gyújtószikramentes NAMUR / kontaktus leválasztók

MUNKAANYAG. Farkas József. Digitális áramkörök kapcsolásai. Kapcsolási rajzok értelmezése, készítése. A követelménymodul megnevezése:

A mikroszámítógép felépítése.

THNG IBMSZ Beltéri műszerszekrény Típusdokumentáció kiterjesztés

Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei. kisszámítógépes rendszerekben. Kutató Intézet

Digitális technika 1. Tantárgykód: VIIIA105 Villamosmérnöki szak, Bsc. képzés. Készítette: Dudás Márton

Jelalakvizsgálat oszcilloszkóppal

Programozható logikai vezérlõk

Elektronikai technikus Elektronikai technikus

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK)

prímfaktoriz mfaktorizáció szló BME Villamosmérn és s Informatikai Kar

Derékszögű karakterisztikájú kapcsolóüzemű

Kapacitív áramokkal működtetett relés áramkörök S: B7:S21.3S2.$

Integrált áramkörök/3 Digitális áramkörök/2 CMOS alapáramkörök Rencz Márta Ress Sándor

MV4 megfigyelővevő. Czigány Sándor, valószínűleg jóval több IC-ből fog állni, mint modern társai, és gyengébbek

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK

Sorompó kezelés mérlegműszerrel

Vegyes témakörök. A KAT120B kijelző vezérlése Arduinoval

Automatikus számtárcsa impulzus mérőberendezés

Versenyző kódja: 29 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

MEMÓRIA TECHNOLÓGIÁK. Számítógép-architektúrák 4. gyakorlat. Dr. Lencse Gábor. tudományos főmunkatárs BME Híradástechnikai Tanszék

ANALÓG ÉS DIGITÁLIS TECHNIKA I

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait.

Hobbi Elektronika. Bevezetés az elektronikába: Scmitt-trigger kapcsolások

1. ábra: Perifériára való írás idődiagramja

ELŐADÁS ÁTTEKINTÉSE 6. ea.: Projekttervezés III.

ELŐADÁS SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA

Abszolút forgójeladók Kimenetek

Elektronikus dobókocka

UEP 01/1. Unicontact Embedded PSTN modem. Kezelési és karbantartási útmutató. Dok. No. UEP M 2007/08

Digitális kártyák vizsgálata TESTOMAT-C" mérőautomatán

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai

S8VK-G (15/30/60/120/240/480 W-os típusok)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

T E R M É K I S M E R T E T Ő

Pontosság. időalap hiba ± 1 digit. Max. bemeneti fesz.

Tantárgy: TELJESÍTMÉNYELEKTRONIKA Tanár: Dr. Burány Nándor Tanársegéd: Mr. Divéki Szabolcs 3. FEJEZET

ELEKTRONIKAI ALAPISMERETEK

E7-DTSZ konfigurációs leírás

CNC technika. segédlet a CNC tantárgy oktatásához. Készítette: Paróczai János

Joint Test Action Group (JTAG)

Mikroelektronikai kutatás a dig^ 20 éve

Mérés és adatgyűjtés

4. Példa: Másodfokú egyenlet megoldása (program2_1.vi)

Magyar nyelvű szakelőadások a es tanévben

S7021 ADATGYŰJTŐ. 2-csatornás adatgyűjtő számláló és bináris bemenettel. Kezelési leírás

A PC vagyis a személyi számítógép. VI. rész A mikroprocesszort követően a számítógép következő alapvető építőegysége a memória

A KGST-országok mikroelektronikai

GÁZELLÁTÓ SZERELVÉNYEK ÉS RENDSZEREK. Spectrolab plus. Spectrolab Plus Laboratóriumi gázelvételi szerelvények

Szivattyú- és ventilátorvezérlı alkalmazás

80-as sorozat - Idõrelék A

2 - ELEKTROMOS BEKÖTÉSEK

AUDIO ENGINEERING SOCIETY

A Szekszárdi I. Béla Gimnázium Helyi Tanterve

hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok esetén

Tűzvédelmi Műszaki Irányelv TvMI 8.1: TARTALOMJEGYZÉK

Láncbakapcsolt PCM csatornák átviteli minőségromlásának hatása a beszédsávi adatátvitelre

A rendszerbe foglalt reléprogram 1954 óta. Újdonságok nyara

ZAJCSILLAPÍTOTT SZÁMÍTÓGÉPHÁZ TERVEZÉSE

Az 5-2. ábra két folyamatos jel (A és B) azonos gyakoriságú mintavételezését mutatja ábra

gyógypedagógus, SZT Bárczi Gusztáv Egységes Gyógypedagógiai Módszertani Intézmény 2

Digitál-analóg átalakítók (D/A konverterek)

Az általam használt (normál 5mm-es DIP) LED maximális teljesítménye 50mW körül van. Így a maximálisan alkalmazható üzemi árama:

Logoprint 500. Sajátosságok határérték figyelés eseményjelzés terjedelmes szövegkijelzés statisztika (jelentés) min- / max- és középértékkel

Elektronikai műszerész Elektronikai műszerész

DREHMO i-matic elektromechanikus hajtások

A TMS320C50 és TMS320C24x assembly programozására példák

STEADYPRES frekvenciaváltó ismertető

Előterjesztő: Gábor Ákos, JÜK Bizottság elnöke ^ ^ ELŐTERJESZTÉS. a Képviselő-testület szeptember 05-i ülésére

FPGA áramkörök alkalmazásainak vizsgálata

DT920 Fordulatszámmérő

HITELESÍTÉSI ELŐ ÍRÁS HIDEGVÍZMÉRŐ K IMPULZUSADÓS VÍZMÉRŐ K HE 6/2-2004

Mielıtt használná termékünket Az eltérı környezeti körülmény elektromos áramütést, tüzet, hibás mőködést vagy. okozhat.

Átírás:

Gate Array-vel megvalósított kettes kompiémens képző és kódkonvertáló áramkör^ STIPKOVITS ISTVÁN* ICONKft. A cikk egy konkrét feladat megoldása kapcsán ismerteti a kapumátrixos berendezésorientált áramkörök tervezési problémáit, a tervezéshez felhasználható alapvető koncepciókat. Az általános szempontok áttekintése után láthatjuk, hogyan épülnek bele ezek a LSI16 nevű áramkörbe, mely vezérelt kettes komplemens képzési illetve kódkonvertálási funkciót láthat el. Bevezetés A TKI-ban készülő egyik berendezés demodulátor egységében egy 16 bites adatszót kellett felváltva (-1)- gyel, vagy (+l)-gyel megszorozni. A végeredmény egy tárolósorba íródott, mely egy DA konverter bemenetéül szolgált. Mivel a DA konverter csak 12 bites, elég volt a műveleteket 12 biten végezni, azaz 4 db, SN7486-os antivalencia kapukat és 3 db, 4 bites teljes összeadókat tartalmazó SN 74283-as tokra volt szükség (i. ábra). A logika bemeneti és kimeneti bufferelését SN74273-asokkal oldották meg. A cikkben a szerző a Távközlési Kutató Intézetben végzett munkájának eredményeit ismerteti. Beérkezett: 1989. V. 5. ( ) MR- - Sí S2 S3 S4 STIPKOVITS ISTVÁN A BME Villamosmérnöki Karának Híradástechnika szakán szerzett diplomát 1986- ban. Már egyetemi évei alatt, heti 18 órás munkavállalóként a Távközlési Kutató Intézetben a digitális témakörében jelfeldolgozás szoftver feladatok megoldásával foglalkozott. Első munkahelyén, a TKI-ban a BOÁK teivezés mellett különféle szoftver munkákban vett részt. Jelenleg az ICON Kft.-nél fejlesztő mérnökként dolgozik. Főként IC ten'ezéssel kapcsolatos témákban nemzetközi konferencián tartott előadást. több hazai és Ez a hálózat meglehetó'sen redundáns és figyelembe véve, hogy a belépó' mintasor csak 576 khz frekvenciával érkezik, a sebességi követelményeket lényegesen túlteljesíti. A kártyán elfoglalt nagy felület problémája és a disszipáció csökkentéséhek igénye szülte ezen logikai funkció BOÁK-kal (Berendezés Orientált Áramkörrel) való megvalósításának ötletét. Oé 0, 0 Z pj J I I C Q4 Q6- Q6 L- cp5«ils273rie p» D5-Q6 07 UJJ cm Si S2 S3 4 0< ű,, M I M I M ^5413273 ~ ^ rj f St SSL SS S4 M. -MM-M I 5ALS56 I 54LSé6 54LS*G 54LS«B 2>.54US273ME h7 CTTUJU *7] 54LS273 D7 <- Lábra. A logikai hálózat TTL. tokokkal való megvalósítása H525-1 200 Híradástechnika, XLI. évfolyam, 1990. 7-8. szám

ASJC tbuax),., SeM-Custom Full CustoB (Teljesen egyedi ára»k5r) f: fi egj-ártctt Elíre tervezett áratnkbrbk áí-amksrolc (Cellás tervelés) Elem Gate Cell mátrix Array Array (Kapu mátrix) (Cella mátrix) H52S-2~) 2. ábra. A felhasználói integrált áramkörök felosztása Meglehetősen szűk hazai kínálatból a feladat megoldására a Híradástechnika Szövetkezet HTOOl-es Gate Array-jét választottuk. 2. BOÁK tervezés NYÁK tervezéstói eltérő szempontjai A BOÁK tervezés fő lépései a NYÁK tervezéssel analógiába hozhatók, csak az egyes lépések súlyozása és a megvalósítás technikája tér el. Nézzük először a fogyasztói integrált áramkörök alapvető típusait (2 ábra). A BOÁK-nak megfelelő kifejezés az angol nyelvű irodalomban az ASIC betűszó, mely az Application Specific Integrated Circuit szavak kezdőbetűiből állt össze. A 2. ábrán szereplő típusok közül való választás gazdaságossági és realizálhatósági szempontok alapján történik. Kisebb sorozatnagyság esetén az előre gyártott áramkörök, nagy sorozat, vagy nagy bonyolultság esetén az előre tervezett, vagy teljesen egyedi áramkör felhasználása lehet gazdaságos. Bármelyik típust is választjuk, már a rendszertervezés szintjén törekedni kell; - a rendszer struktúráit tervezésére - az előre tervezett áramköri részletek alkalmazására - lehetőleg kevés különböző cella, makrocella felhasználására - az áramköri részletek ismételt felhasználására Ezen utóbbi célkitűzés azt jelenti, hogy nem kell feltétlenül a minimális kapuszámra törekedni. Ha arra lehetőség nyílik, célszerű azt az áramköri kapcsolást előnyben részesíteni, amelyik szabályos felépítésű' layouttal tervezhető meg. Az gyorsítja a tervezés folyamatát, s tervezési hiba előfordulásának valószínűsége is kisebb lesz. Ahol azonban a tervezéshez rendelkezésre álló felület bizonyul szűk keresztmetszetnek, ott célra vezető lehet komplex kapuk felhasználása illetve a pozitív és negatív logikában működő részáramkörök (szintek) váltogatása. Lényeges különbség a chip és a NYÁK tervezés között, hogy az előbbinél; - a cellák tetszőlegesen tükrözhetők - a vezetékek parazita kapacitásának és ellenállásának sokkal nagyobb a szerepe - a cellák elektromos összehuzalozására használható különböző vezetőrétegek egymással nem egyenértékűek / l /. Az alkatrészek, a felhasznált technológia függvényében, 2-5 síkon köthetők össze egymással. Az egyes összeköttetés-fajták növekvő négyzetes ellenállás szerinti sorrendben: - fém vezetékek - diffúziós vezeték - poliszilícium vezeték. A négyzetes ellenállások értékei között nagyságrendi eltérés lehet, az egyes vezetéktípusokon a jelterjedés sebessége tehát erősen eltérő. Integrált áramköri tervezésnél igen nagy jelentőségű a logikai layout-terv ellenőrzése, hiszen az elkészült maszkon, illetve áramkörön módosítani igen nehéz. A gyakolatban inkább új maszkot készítenek, vagy a hibát külső áramköri elemek segítségével próbálják korrigálni. Az áramkörök integráltsági fokának növekedtével egyre komolyabb probléma a tesztszekvenciák generálásának kérdése. A nagy bonyolultságú áramkörök olyan sok belső állapottal rendelkeznek, hogy teljes tesztelésük lehetetlen, s nincs mód arra, hogy valamilyen mérőeszközzel az áramkör valamelyik belső pontjára léphessünk. 3. A LSI 16 áramkör tervezésének menete 3.1 Áramköri specifikáció A megvalósítandó áramkör 16 TTL kompatibilis beés kimenettel rendelkezik (7. ábra). A logikai hálózatnak a K vezérlő bemeneten adható meg, kell-e (-1)- gyel szorozni, vagy sem. Az áramkör biztonsággal kell tudja feldolgozni az 576 khz-es frekvenciával érkező bemeneti adatszavakat. A 16 bites bemeneti kódszót 12 bitesre kell kerekíteni. Több IC tokot takaríthatunk meg, ha sikerül a be- és kimeneti tárolósorokat is a logikai funkció mellé integrálni. Célszerű tehát olyan chipet választani, mely ezt a lehetőséget biztosítja. 3.2 A HTOOl-es rövid ismertetése A HTOOl-es Gate Array a logikai kapu cellák mellett 32 darab dedikált cellát is tartalmaz /2/. A dedikált cella olyan struktúra a chip felületén, melyen adott logikai funkció - jelen esetben egy MS D tár - a lehető legkisebb felületen valósítható meg, a cella erre van optimalizálva. Ha a kívánt logikai funkció a dedikált cellák között elfér, úgy az áramkör az SN74273-as tokok funkcióját is elláthatja. Tekintsük át röviden a HTOOl-es Gate Array főbb tulajdonságait: - a chip 7,5 Mm-es, fém gate-es CMOS technológiával készül, így nem tartozik a legkorszerűbbek közé, viszont a HTSZ a gyártáshoz megfelelő technológiai háttérrel és tervezési tapasztalattal ren- Hiradástechnika, XLl. évfolyam, 1990. 7-8. szám 201

0* 0«o 9 0 H ^2 K) 0, DK, DO5 Do 6 tx>9 0* 0,, 10 F5T 1 1 1 1 1 l 1 1 DQ, D0 2 Dq, Do 45 CoOT "13" SO) tan Af-1 A 2.-3 A^-tv Dl, V Dl* '00 1 1 1 I I 1 I I DI 5 DI 7 W 4 D* 9 Dl* J-1S 1* 10 N - Nagyimpedanciás cella D - D tároló cella (dedikált cella) A - Aritmetikai cellák [H525-3 I és 0-1/0 cella 3. ábra. A HTOOl-cn található struktúrák delkezik. A CMOS alapinverter azonos ütemben vezérelt n csatornás (elektron vezetéses) és p csatornás (lyuk vezetéses) eszközökből épül fel. A két tranzisztor közös vezérlő' (gate) elektródájára adott logikai 0" szint hatására az n csatornás eszköz lezárt állapotban marad, a p csatornás eszköz pedig viszonylag kis impedanciás utat biztosít a pozitív tápfeszültség felé. Logikai 1" értékű bemeneti szint esetén pedig az n csatornás eszköz nyit ki a negatív tápfeszültség felé, s a p csatornás eszköz marad nagy impedanciájú állapotban. - 960 tranzisztorpár (256 alapcella + dedikált cellák), 42 db I/O cella a megfelelő védelmek, illetve meghajtóképesség biztosításával - 32 db dedikált cella MS D tárak létrehozására - néhány tipikus kapukésleltetési idő 1 pf terhelés esetén: Inverter = 10 ns (V dd = 5 V) 2 bem. NAND = 18 ns 2bem.NOR= 22 ns Transzfer kapu= 20 ns Antivalencia kapu = 50 ns Transzfer kapu= 20 ns Schmitt trigger = 52 ns Antivalencia kapu transzfer kapuval = 20 ns 3 bem. komplex kapu= 36 ns - az LSI bonyolultságú áramkör 8 db nagy impedanciás cellát is tartalmaz, melyekkel késleltetések valósíthatók meg - a kapukimenetek 3 tranzisztorpárral terhelhetők 202 Híradástechnika, XLI. évfolyam, 1990. 7-8. szám

v T 5GU/c m l FST i D r - i - i - - - i - u - i - k D D D ^ 111 f> f - 1 - L J - - - 1 - - J T I ífnff i Covrr 11 IC 1 - bemeneti tárolósor 2 - kimeneti tárolősor 3 - az aritmetikai funkciót teljesítő logika 4 - vezérlő lorika 4. ábra. Az áramkör blokkdiagramja H525-4 úgy, hogy a sebesség számottevően ne csökkenjen. Az egyes struktúrák elhelyezkedését a chip felületén a 3. ábra szemlélteti. A D betűvel jelzett területek a dedikált cellák, a chip kerülete mentén pedig az I/O cellák és a nagy impedanciás cellák (N) sorakoznak. A D dedikált cellák helyén tárolótól eltérő funkció is megvalósítható, csak rosszabb hatékonysággal. Az N nagy impedanciás cella olyan invertert tartalmaz, melynél a bemeneti jelhez képest a kimenő, invertált jel jelentős késleltetést szenved. A chip szélén elhelyezkedő be/kimeneti pontok mindegyikéhez egy I/O cella tartozik. A cella megfelelő fémezés kialakításával a be/kimeneti pontból bemenetet, illetve kimenetet képezhet. 3.3 A logikai terv A HT001 kiválasztásával a specifikáció a következőkkel egészül ki: - azonnal látszik, hogy a 3 legkisebb súlyú bemeneti bitnek semmiféle szerepe nincsen, ezek nem igényelnek külön bemenetet - új bemenet viszont a jel, mely a bemeti és kimeneti D tárolók közös órajele. így az n.-edik kilépő minta az n+l.-edik belépő mintával közös órajelre jelenik meg a D íárolósor kimenetén - amennyire erre lehetőség nyílik, a K bemenet is bufferelt lesz. Az így specifikált chip be- és kimenetei az 1. táblázat szerintiek. A lábak szám? c?ak a be/kimenetek közösítésével csökkenthető, ami az áramkör bekötését bonyolítja és a műveletvégzési sebességet csökkenti, így viszont az áramkör nem fért el a 28 lábú tokban, s lötyögött" a 40 lábúban. Ekkor merült fel az az ötlet, hogy az áramkör speci- 1. Táblázat Az áramkör be- és kimenetei a kiindulási specifikáció esetén Lábak Megjegyzés neve db Bemenetek 13 12+1 bemenő bit Kimenetek 1 1 Órajel K 1 Vezérlő bemenet V SS 1 Negatív tápfeszültség v d d 1 Pozitív tápfeszültség Összesen 29 * H525-1T 2. Táblázat Az áramkör be- és kimenetei a módosított specifikáció esetén Lábak Megjegyzés neve db Bemenetek 17 Kimenetek 16 1 K 1 V SS 1 v d d 1. c in 1 Átvitel bemenet, csak a 2. toktól haszn. c 1 Átvitel kimenet, utolsó toknál nem haszn. SGN 1 Előjelbit ismétlés, csak az 1. tok haszn. FST 1 Első vagy többedik tokként van bekötve Összesen 41 H525-2T Híradástechnika, XLI. évfolyam, 1990. 7-8. szám 203

fikációját kibővítve általános aritmetikai elemmé válhat, hiszen a (-l)-gyel való szorzás mind kettes komplemens képzésnél, mind kódkonverziók során szükséges. A vezérelhető mííveletvégzés az alkalmazási kört tovább bővíti Általános aritmetikai elemként való felhasználáshoz célszerű volt a hálózatot 16 bitesre kibővíteni, valamint nagyobb szóhosszakkal való m űveletvégzéshez a kaszkádosítás lehetőségét biztosítani. A szükséges be- és kimeneteket a 2. táblázat tünteti fel. Látható, hogy most a 40-es maximumot léptük túl eggyel, itt azonban kézenfekvő lehetőségként kínálkozik C m és SGN bemenetek közösítése, hiszen egy beépített logika FST állapota alapján dekódolni tudja, hogy a SGN/Q. bemeneten ténylegesen melyik jelet kell értelmeznie. A LSI 16-os áramkör tervezése során már a logikai tervezés is interaktív lépésekben tőrtént. A következőkben a logikai tervezés néhány lépését mutatjuk be részletesebben, mivel az itt használt megoldások kulcsszerepet játszottak az áramkör HTOOl-es chipcn való megvalósításában. Az aritmetikai egység blokkdiagramja a 4. ábrán látható. Először nézzük, miért szükséges, hogy a bemenő adatszó MSB-jét a SGN/C m bemeneten megismételjük: pozitív számok esetén a kerekítés az I.,-es bit hozzáadását jelenti az I, adatszóhoz, negatív számok esetén azonban a kerekítés csonkolással érhető el. Az SGN bemenettel tehát kapuzzuk I.j-et, ha pedig a bemenet Cin-ként funkcionál, \. x értéke úgyis közömbös (a K vezérlő bemenet letiltja). SGN azért külön bemenet, hogy a működés tetszőleges adatszó-hossz esetén is helyes, a működési sebesség pedig lehetőség szerint maximális legyen. Lehetne I 15 -öt mint előjelet tekinteni, de kisebb szóhosszúság esetén is a 16 bitesnek megfelő lenne a műveletvégzési idő. Az A betűvel jelölt aritmetikai cellák mindegyike egy vezérelt invertert és egy fél-összeadót tartalmaz, mely a bemenő átvitelből és az adatbitből egy összeg és egy átvitelbitet állít elő (5. ábra). Ez, a legkézenfekvőbb megoldás, több szempontból sem a legmegfelelőbb: - a terjedő átvitel két kapukésleltetésnyi idő alatt áll elő (És kapu = NAND + Invcrtcr), az átvítelterjedés sebessége pedig az egész áramkör működési sebességét meghatározza - helyfoglalása jelentős - mivel a Kjei minden A cellánál közös, nagy méretű meghajtó áramkörökre van szükség. Az első két problémán enyhíthetünk, ha az átvitelbitnek csak a negáltját állítjuk elő, s a rákövetkező A cellát alkalmassá tesszük annak fogadására. Mivel a/ antivalencia kapu kimenete mindkét bemenet együttes invertálására nézve invariáns, két egymást követő cella felépítése a 6. ábra szerinti lesz. A megoldás előnye, hogy az átviteli terjedés gyorsul, a helyfoglalás csökken. Hátránya viszont, hogy most már nem egy, hanem kétféle aritmetikai cella van és 5. ábra. Aritmetikai alapcella ó. ábra. Módosított aritmetikai alapcéllá óvas 7. ábra. CMOS antivalencia kapu H525-7 minden A 2 -cs cella valamelyik bemenetét invertálni kell. Ez utóbbi probléma két úton is feloldható: - nem K-t, hanem I lm -ct negáljuk, I, M viszont a bemeneti tárolósor kimenetén máris rendelkezésre áll (D tároló Q kimenete). - jobb megoldás, ha a hátrányt előnnyé változtatva K-t is az átvitelhez hasonlóan terjesztjük. Mivel egy inverter késleltetése kb. fele egy NAND, vagy NOR kapuénak, működés nem lassul, sőt a K jel meghajtó áramköre is feleslegessé válik, mely a működést lassította. A logikai tervet a későbbiekben még egy helyen módosították a layout tervezés szempontjai. Az antivalen- 204 Híradástechnika, XL1. évfolyam, 1990. 7-8. szám

<?V BD 8. ábra. CMOS ekvivalencia kapu HS25-8 cia kapu tranzisztor szintű kapcsolása a 7. ábra szerinti. Az antivalencia kapu egy NOR kapuból és a kimenetére csatlakozó komplex kapuból áll. Látható, hogy az antivalencia kapu megvalósítása egyben a NOR kapu megvalósítását is jelenti. Az ekvivalencia kapu tranzisztorszintű kapcsolását a 8. ábra mutatja be. Ez a kapcsolás viszont egy NAND kaput foglal magába, így Aj-es cella tehát O r et állítja eló', de kiegészítő' invertert a cellába helyezni nem érdemes a kimeneti D tárolósor Q-et levéve, az invertálás megtörténik. Csak a váltott logikai szintek használata tette lehetővé a logikai funkció olyan tömörítését, hogy a layout a rendelkezésre álló felületen tervezhető legyen. A vezérlő logika feladata, hogy az FST bemenet állapotától függően C in -t, vagy a kerekítő bit értékét meghatározó komplex kapu kimenetét adja az első A r es cella C, no bemenetére (9. ábra). 3.4 A layout terv A layout tervezése során a dedikált cellák miatt a bemeneti és kimeneti D tárolók helye rögzített volt. Hogy melyik D tárolót, melyik be- ill. kimenethez rendeltük, hogyan helyezhetők el az A[ és A 2 -es aritmetikai cellák és vezérlő logika, a 3. ábra szemlélteti. Két olyan D tároló cellát kellett megvalósítani (DK - a vezérlő bemenet buffere, D].! - (-l)-es súlyú bemeneti bit buffere), melyek nem dedikált cellán helyezkednek el. Az aritmetikai cellák nemcsak logikai párokat képeznek hanem fizikailag is legalább páronként helyezkednek el a chipen. Az aritmetikai cellákat A» - tői A n -ig két részre bontva helyeztük el, a bemeneti D tárolók közelében a vezérelt invertereket, a kimeneti tárolók alatt az összeadókat. Erre a chipen elhelyezett huzalozási felületek elégtelensége és a vezetékek hosszának minimalizálása miatt volt szükség. Ez a felbontás hosszú, nagy késleltetést adó, cellán belüli vezetékezés ellenére sem csökkenti a műveletvégzési sebességet, hiszen az első négy aritmetikai cella késleltetése jóval nagyobb, mint egy vezérelt inverter és a vezeték késleltetése. jelöli az órajel meghajtó cellákat, V pedig a vezérlő logika helyét. Az elrendezés előnye, hogy a chipen vertikálisan futó vezetékek elférnek a cellák között, nincs a terjedő átvitel útjában extrém hosszú vezeték, rövid vezetékekkel támogatja a felhasználóbarát lábkiosztás megvalósítását is. Hátránya, hogy az elrendezés nem teljesen periódikus. Az A r es és A 2 -es aritmetikai cellák tervezése során a következő követelményeket szeretnénk teljesíteni: - az aritmetika 2 D tár közötti helyen férjen el - minél kevesebb diffúziós bújtatást tartalmazzon, mert ez lassítja a működést - minél kevesebb ferde vonalat tartalmazzon, mert ez a maszk generálást lassítja. A fenti követelményeket - a chipfelület meglévő korlátai mellett - legjobban teljesítő elrendezés meglehetősen telített cellákat eredményez. Ha a fenti egyszerűsítéseket nem sikerül elvégeznünk, az Aj cellák nem fértek volna el jelenlegi helyükön, a két D tárolócella közötti 4 alapcellányi felületen. Mindkét cellában a vezérelt invertereket megvalósító kapcsolás transzfer kapukkal felépített antivalencia kapu. Ennek tranzisztor szintű kapcsolása a 10. ábrán látható. A transzfer kapu párhuzamosan kötött, ellenütemben vezérelt n és p csatornás tranzisztorokkal épül fel. Ennek jelentősége az, hogy a kapu nyitott állapotában a soros ellenállás mind a bemenet logikai 0", mind logikai 1" szintjénél a lehető legkisebb le- 9.ábra. A vezérlő logika kapcsolása i r H525-9 HS25-10 10. ábra. Vezérelt inverter (Transzfer kapuval felépített antivalencia kapu) Híradástechnika, XLI. évfolyam, 1990. 7-8. szám 205

gyen. Az ilyen felépítésű antivalencia kapu nagyobb sebességű alkalmazásokra még ennek ellenére sem ajánlható. A kapcsolás vezérelt inverterként való alkalmazása azonban ideális, mert: - 2 db komplex kapuval felépített antivalencia kapu nem férne el a 4 alapcellán - az íj bemenetre kapcsolódó invertert nem kell megvalósítani, hiszen a bemeneti D tárolósor kimenetéről mind Q, mind Q levehető - a K bemenetre csatlakozó inverter kettős feladatot lát el: biztosítja a kapu működéséhez szükséges K jelet, s ugyanez a jel terjeszthető tovább a következő cellához is (a logikai szint váltás minden második fokozatban automatikusan előáll). A kapcsolás előnye, hogy a vezérelt invertálási funkció megvalósításához nincs szükség a K és K jelek meghajtó fokozatára és az inverterek layoutja is egyforma. A D tároló cellákat cellakönyvtárból vettük, de mert a chipen csak 32 dedikált cella van, az I. r es és K bemenet bufferelését alapcellákon megvalósított D tárolókkal oldottuk meg. A tervezés során mindenütt sikerült elkerülni, hogy egy kapu kimenetét háromnál több kapubemenet terhelje. 4. A LSI16 áramkör előzetes adatlapja Az áramkör layoutjának tervezését a lábkiosztásra vonatkozó fő követelmények rögzítése előzte meg, így sikerült a jelenlegi konvenciókhoz idomuló, felhasználóbarát lábkiosztást megvalósítani (11. ábra). A tápfeszültség bemenetek a DIL toknál megszokott helyen vannak, a be- és kimenetek a kártyán áttekinthető huzalozással köthetők be. A bemenetek mindkét irányú túlfeszültség ellen diódás védelemmel lettek ellátva, a kimenetek egy TTL terhelés meghajtására képesek. Az áramkör helyfoglalását a chipen a következő adatokkal szemléltethetjük: Nagy impedanciás cella I/O cella Tároló (dedikált) cella Alapcella - 0% kitöltés - 40/42 = 95,2% - 32/32 = 100% - 137/256 = 53,5% -» - 11. ábra. Az IC lábkiosztása H525-llj Ez utóbbi adathoz kiegészítésként kívánkozik, hogy az áramkör nagymértékben párhuzamos felépítése miatt a kitöltöttség látszólag nagyobb. Párhuzamos működésű elemeket tartalmazó áramköröknél ugyanis a cellák kihasználása a hosszú be- és kimeneti összekötő vezetékek miatt lényegesen alacsonyabb, mint soros működésűeknél. Az áramkör tervezett működését a 12. ábra időzítési diagramja szemlélteti. Minden bemenő és kimenő jel bufferelt, így a külső időzítések kényelmesek. Természetéből adódóan egyedül a jel nem bufferelhető, ennek a teljes műveletvégzési idő alatt fennt kell állnia. A 12. ábra T 3 -mal jelölt ideje a leghosszabb, ez a belső műveletvégzési idő. A legkisebb periódusidő: T = T 2 + T 3 A HTOOl-es Gate Array adatlapján feltüntetett, illetve annak segítségével számítható időadatok alapján a 3. táblázat műveletvégzési idői adódnak. Ezek a viszonylag alacsony működési frekvenciák a majd 20 éves technológiának és az átvitelgyorsítást nélkülöző architektúrának köszönhetők. TE". 1_ 0 0(k-2) COUTCOUTCM) 3c 12. ábra. Időzítési diagram JEL 0(lc-1) H525-12 206 Híradástechnika, XLl. évfolyam, 1990. 7-8. szám

L_l_ - r- 5c^/c.u LSH6 1K J_l is F5T Cbwr Ti IC" mi* Jis - L 1 10 SCü/Cu, LSH6 m COÜT 4 32 v 2 13.ábra. Az IC bekötése (-l)-gyel való szorzáskor 1H525-131 Bitszám Várható műveletvégzési idők (Vdd=5V) 3. táblázat Műveletvégzési idő(ns) ' Működési frekvencia(mhz) 12 422 2,37 16 502 1,99 32 768 1,3 5. Alkalmazási lehetőségek H525-3T Az aritmetika lehetséges felhasználási köre két fő csoportra osztható: 1. -vezérelt szorzás (-l)-gyel. Ebben a funkciójában az IC nagyobb szóhosszúságról kerekítést végezhet 2. -Kódátalakítás Előjel abszolútértékes ~ Offset bináris Előjel abszolútértékes «Kettes komplemens kódok között. A 13. és 14. ábra az áramkör egyes lábainak bekötését mutatja be az IC felhasználási funkciója és a bemenő szóhosszúság függvényében. A bemenő szóhossz tetszőleges bitszámra kiterjeszthető. Az áramkör tetszőleges berendezés aritmetikai eleme lehet, s a kaszkádosíthatóság révén extrém szóhosszokat is kezelni tud. Az LSI bonyolultságú Gate Array jóvoltából a NYÁK lapon jelentős felület taka- OFFSCT bho^c\s *-* ur3el fvbsz. A3SSZ.. 14.ábra. Az IC bekötése kód konverziókor H525-14 Híradástechnika, XLI. évfolyam, 1990. 7-8. szám 207

rítható meg. Az átvitel belső terjedése miatt kevés az egyidejű belső állapot-átmenetek száma, így a CMOS technológia alapvető előnye, a kis disszipáció a működési ciklus legnagyobb részében fennáll. 6. Befejezés A logikai és layout tervezés lépései kézzel, papíron készültek. A logikai függvények és az egész hálózat működésének helyességét kis BASIC programokkal ellenőriztem. Ez a logikai szimulátor program nélkül végzett ellenőrzés elégséges, mivel a műveletvégző aritmetika tisztán logikai hálózat, ahol a kimeneti állapotot a bemeneti állapot egyértelműen meghatározza. A tároló cellák könyvtári elemek, ellenőrzésük nem szükséges. Az egész hálózat szinkron működésű, így ha a működési frekvenciára adott felső határt betartjuk, hazárdok fellépésével sem kell számolnunk. A layout-terv a HTOOl-es raszteresre korrigált tervezési segédletén készült, s csak az eredmény került grafikus editor segítségével egy IBM PC/AT számítógépre. Végezetül egyet kell értsek azokkal, akik azt mondják, hogy kézzel ma már neín lehet hatékonyan chipet tervezni. A logikai terv layouttá fogalmazása közben azonban olyan problémákkal találkoztam, melyeket egy elhelyező és huzalozó program nehezen kezelt volna, mivel - mint látható volt - a sebesség növelése, a helyszűke, többször kényszerített alternatív megoldás keresésére, s csak a logikai és layout terv együttes finomítása vezetett sikerre. A cikkben az IC tervezés szép és nehéz feladatainak megoldását mutattam be, azzal a reménnyel, hogy a leírtak nemcsak egy tervezési metodikát ismertetnek, hanem egy, a közeljövőben megvalósuló termékről is tájékoztatást adnak. IRODALOMJEGYZÉK [1] Dr. Tarnay Kálmán szerk.: Mikroelektronikai berendezésorientált áramkörök tervezése; EDUSYSTEM Oktatásfejlesztési Pjt., 1984 [2] CMOS Teivezési segédlet; HTSz, 1983