A mikroszámítógép felépítése.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "A mikroszámítógép felépítése."

Átírás

1 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az egységek közötti adatcsere zajlik. mikroszámítógép felépítése. processzoros rendszereket adatfeldolgozásra szokták használni. Napjainkban sokféle mikrovezérlőt gyártanak, és az egyes típusokon belül is nagy a változatok száma. z összehasonlításokból azonban kiderül, hogy sok olyan jellegzetességük van, amelyek általánosan jellemzik őket. közös jellemzőket az alábbi pontban foglaljuk össze. 1. Egy chipes áramkörök, amelyek valamilyen mikroszámítógép konfiguráció valamennyi alkotóelemét, CPU, RM, ROM, I/O elemek, rendszer óragenerátor, tartalmazzák. 2. Túlnyomórészt Harvard felépítésűek, vagyis külön program és adat memóriával rendelkeznek. program memória 4-8 Kbyte, az adat memória viszonylag kicsi, maximum egy-két Kbyte nagyságú. Ez abból a tényből adódik, hogy egy általános vezérlési feladat sok vezérlő utasítással és kevés átmeneti adat tárolásával oldható meg. 3. Utasításkészletük általában egyszerű, programozásuk könnyen elsajátítható. 4. Külső memóriával nem bővíthetők, vagy ha igen, az-az I/O vonalak felhasználásával történik, és ez a szabadon maradó I/O vonalak számát csökkenti. 5. Jellegzetes erőforrásokkal rendelkeznek. Ilyenek a Watch Dog timer, általában több számláló/időzítő áramkör, nagyszámú digitális bemeneti és kimeneti vonal. Újabban vannak olyan típusok, amelyek analóg bemenő jelek feldolgozására is alkalmasak. 6. kivezetések számának csökkentése céljából többcélú kivezetéseik vannak. 7. Számos külső megszakítás vonallal rendelkeznek, ezen kívül a belső eszközök nagy része is megszakítás vonallal van ellátva. 8. Általában tartalmaznak soros kommunikációs portot, amely a programozásukat megkönnyíti, valamint lehetővé teszi beillesztésüket egy számítógépes rendszerbe.

2 2. Neumann, Harvard architektúra Processzor rendszereket adatfeldolgozásra szoktak használni Neumann architektúra : z adatok és a kódok ugyan abban a tárolóban foglalnak helyet, tehát a tárolóban lévő számértékek jelenthetnek adatot és kódot is. µp CPU dat LU Megosztjuk a tárolót Tároló tároló Kód program harvard architektúra az adatok és a kód között éles határt von. Gyakran alkalmazzák µc-nél. CPU kód tároló olvasható, de írni nem lehet T datok tárló írható és olvasható

3 3. Processzoros rendszerekben előforduló műveletek Műveletek : - Ìrás - Olvasás - DM(Direct Memory cces közvetlen memória hozzáférés) - Megszakìtás Ìrás : Cím Cím egy része D CPU - Mem D L Cím másik része adat Cìm másik része LE RD WR H H H L wait Olvasás: Cím egy része D Cím adat L LE RD H H L WR H

4 4. Multiplexelt busz működése rajzzal I. Be- és kimeneti portok Latch olvasás Belsô busz Latch írás D CL Q Q Olvasás a lábról Cím/ dat MUX Vezérlés Vcc Port egy bitje Láb Mind a négy port kétirányú és output irányban tárolóval van ellátva. tárolók az SFR területen érhetők el (P, P1, P2, P3 regiszterek). Ezen a rajzon a Port egy bitjének belső felépítése látható. Port 2 belső felépítése ettől csak annyiban tér el, hogy az ÉS kapu egy belső felhúzó ellenállást vezérel. P és a P2 port a multiplexelt cím/adatbusz szerepét látja el, amennyiben külső memóriát használunk. Külső programmemóriát a következőképpen használhatunk: MCS-51 P1 P EPROM dat Ebben az esetben a P port multiplexelt cím/adatbuszként mûködik, a P2 pedig a címbusz felső fele lesz. P3 E LE P2 PSEN LTCH Cím Cím OE multiplexelt cím és adat szétválasztását az LE jel segíti, míg a PSEN jel használható olvasás jelként. memóriacím mindig 16 bites, és a külső memória elérése lefoglalja a P és P2 portokat, így azok másra nem használhatók. mennyiben használjuk a belső memóriát, de a cím kívül esik a belső címtartományon, a CPU a külső memóriához fordul, akár van akár nincs. Ha tehát nincs külső memória és a portokat I/O-ként használjuk, akkor vigyáznunk kell, hogy a program ne tévedjen a belső programmemórián kívülre.

5 5. Címdekódolás CPU címterei: - Egy Mem/IO - Több a, Mem I/O b, írható/olvasható mem. csak olvasható mem. o l CPU k i Cs j ROM n RM m Rekeszek i kód adat j n m ROM RM l ROM kiválasztás ROM l k ROM ROM + n RM kiválasztás RM l k RM RM + n Címdekóder CPU n Címbusz K CS1 CS2 CS3 Kombinációs hálózat Címbusz: 16 bit CPU 1... l = = kivesszük 1 = =1 Kiválasztható rekeszek száma 2 15 ~32k

6 Mem = 32kbyte =1 32kbyte CS Mem2 32k CS1 CS K CS1 CS2 CS 32k =1 = k K =1 13 = 14 16k 32k mem1 kapacitása kisebb, mint amekkora címterületet előállítottunk Mem1 k Mem2 k 7FFF 8C FFFF } } = = = 1 =1 Mem1-et kiválasztjuk k= 7FFF 3FFF 4 7FFF 16k 16k = = k= = mem1 rekesz 13 k=4 = mem1 rekesz 13

7 6. Szimuláció/emuláció Tesztelés, módosítás 1. Szimuláció 2. Emulácó a) Memóra tartalom b) Processzor Szimuláció Hoszt Szerkesztő(forrás) Fordító(forráskódból mem tartalom) Szimulátor Utánozza a HW-t cél készülék HW nincs rászükség, nem kell megépíteni Emuláció Memória Cél HW Helyettesítjük Emulátor Ez a mem. Egy perifériája ennek a gépnek CPU Mem Mem Fejlesztő Mem Fizikailag benne a mem. Kábelköteg, ezt rakjuk be ennek a memóriának a helyére Cél HW Üzemmód Mem. (Emulált HW elem) Busz Szg. Emulátor sz-e - futás - fejlesztés Mem Mem Cél HW Cél HW Szg. Emulátor sz-e

8 es memória szervezése Általános célokra használható, 8 bites CPU, melyben a címek 16 bitesek, és Bit-műveleteket végezhetünk el vele. Beépített memóriával rendelkezik, melynek részei: a. Kód -, 4K, 8K, 16K, 32K, 64K b. RM byte, 256 byte c. SFR (Különálló címterület) byte d. Külső RM - 64K Címterek külső belső külső (127) x7f RM SFR (128) x8 (256) (256) xff xff Itt memóriát és regisztereket is lehet tárolni BELSŐ DTTERÜLET (Belső RM) E E RM n ROM ROM FFFF Ugyanazt a címterületet külső és belső memóriával is lefedhetjük Választás E belső vagy külső memóriához forduljon a processzor Belső RM RM Logika magas alacsony szint 4 x 8 db regiszter (egyszerre egy aktív) 16 byte speciális szerepet játszik (itt lévő 128 bit egyenként megcímezhető) RM Indirekt címzést használ SFR Ha az utasítás direkt címzést használ Rekeszek bitenként is kezelhetők (amik maradék nélkül oszthatók 8-al) Σ 256 db van, ami bitenként manipulálható

9 Utasítások Címzésmódok: - direkt belső adaterületre vonatkozik direkt címek: belső RM bitesek: SFR Pl.: MOV 25, Kiválasztjuk, és a 31-est átmásoljuk a 25-ösbe, vagy fordítva??? - indirekt belső adat R v. R1 használható fel. Pl.: R,12 a 12-es direkt címzésű rekesz tartalmát másoljuk abba, amit beraktunk előzőleg R-ba. (@ indirekció jele) - regiszter R...27 Pl.: MOV R,26 - bit cím 8 bit konstans 8 bit Pl.: MOV 23,#156 (# a konstans jelölése) 16 bit (de csak 1 utasításban) Pl.: MOV DPTR,#szám Vezérlésátadás: - relatív (8 bit), a címet kettes komplemens formában adjuk meg - direkt, abszolút 11 bit, illetve lehet 16 bit is. Utasításkészlet: I. datmozgatás: - Belső területen - CC külső RM MOVX,@DPTR - CC kód MOVC,@DPTR II. ritmetika +, -, x, / (forgatások) +1, -1 ÉS, VGY, KIZÁRÓ VGY III. Bit műveletek ÉS, VGY, KIZÁRÓ VGY CPL (negálás) 1, (fix 1-re, vagy -ra állítás) IV. Vezérlésátadás - Szubrutinhívás, CLL - Ugrás, JMP (feltétel nélkül) - Feltételes ugrás i. PSW-től függő ii. JZ, JNZ (akkumulátor pillanatnyi értéke alapján ugrik) iii. Bit - Összehasonlítás - -1, összehasonlítás, ugrás

10 portok felépítése Port P, P1, P2, P3 1 datbusz D 1 1 2, akkor nincs az X-ezett tranzisztor T Kivezetés WR C Tároló olvasás Kivezetés olvasás -t írunk be, kimeneten 1 lesz, tranzisztor bekapcsol. 1-et írunk, jön ki, tranzisztor kikapcsolt állapotba kerül, megszűnik a -val való összeköttetés. - utasítások egy része kivezetésről olvas Pl.: MOV,P - utasítások másik része a tárolót olvassa R (Olvas), M (Módosít), W (Ír) Pl.: INC P SETB (1-be állít 1 bitet) Pl.: SETB P1,2

11 időzítés, számlálás Időzítő Írás 8 bit 8 bit Megszakításkérés (túlcsorduláskor) Léptetés Oszcillátor f osc (frekvencia) 12 MHz 12 belső 1 µs C/TX Számláló jel periódusa külső kivezetés TRX (TR, v. TR1) 1 GTEX TRX & 1 belső órajel f osc / 12 (időzítő) külső ki/be kapcsolás... Módok - 13 bit - 16 bit - 8 bit autoreolad (8 bit) TLX megszakítás kérés nagyf. belső kapcsolás THX TLX TL 1. megszakítás TR1

12 1. VR perifériák - VRT (aszinkron adó-vevő áramkör) - Számláló-időzítő Kétirányú számlálás PWM - WDT Túlcsordulás RESET-eli a kontrollert Órajel Számláló Reset µc Törlés OSC SW z órajel általában egy saját oszcillátorból táplálkozik - SPI (MISO-MOSI) Ez a kimenet master módban bemenet, Slave módban kimenet SHIFT MISO fordítva MOSI SHREG Vezérlő SCK - nalóg komparátor H,L - DC (analóg-digital konverter) választék nagy legkisebb darab 8 lábas tokban

13 11. RISC (Reduced Instruction Set C.) II. Csökkentett utasítás készlet (Huzalozott + az utasítások mérete egyenlő) III. Load/Store utasítások (z utasításkészlet utasításai nem fordulnak a memóriához, kivéve a LOD/STORE. Kevés címzési mód van) IV. Nagy regiszter készlet (cache) V. Pipeline végrehajtás (Egy időben több utasítás lépésenkénti feldolgozása) Superskalár elv (több pipeline beépítve) VI. Fordítóprogram Risc Kevés utasítás (4-6) Egyszerű műveleteket végeznek el Fix méretű utasítások Gyakori utasítások maradnak meg HW egyszerűsödik (µp belseje) Kevés címzési mód LOD/STORE Pipeline Superskalár Fordítóprogram bonyolultabb Nagyobb kód Több regiszter van Cisc Sok utasítás (>1) Bonyolult műveleteket végeznek el Változó méretű utasítások Sok címzési mód Sok utasítás fordul a memóriához Pipeline,piperskalár De csak újabban!! Fordítóprogram egyszerűbb Kisebb kód Kevesebb regiszter

14 12. SW fejlesztés lépései PLC-k általában többféle programnyelven programozhatók. Mindegyiknél megtalálható az ún. utasításlistás programozási mód, ahol a feladatmegoldás lépéseit a PLC által értelmezhető mondatokban írjuk le. Ilyen módon használhatjuk ki legteljesebben a CPU által felkínált lehetõségeket. Szimbólumdiagram: z utasításlistás programozási mód mellett (melyet általában a gyakorlottabb programozók kedvelnek), szinte mindig megtalálható valamilyen grafikus programszerkesztési mód is. z első lehetőség, hogy logikai elemeket (ÉS, VGY kapukat, késleltetőket, számlálókat stb.) kapcsolhatunk össze, mintegy áramköri rajzzal definiálva a programot. z így keletkezett rajzot egy fordítóprogram fordítja le a PLC gépi kódjára. Ez a programozási mód a digitális technikában járatosak számára kedvező. Létradiagram: második, - grafikus - lehetőséggel élve áramutas rajzokat készítünk, reléérintkezők és jelfogótekercsek alkalmazásával. Így egy már korábban jelfogós technikával megoldott feladat elvégzését programozhatjuk be könnyen és gyorsan anélkül, hogy a régi jelfogós kapcsolási rajzot át kellene kódolni. Itt szintén fordítóprogram végzi el az átkódolást.

Programozható logikai vezérlõk

Programozható logikai vezérlõk BUDAPESTI MÛSZAKI EGYETEM KÖZLEKEDÉSMÉRNÖKI KAR KÖZLEKEDÉSAUTOMATIKAI TANSZÉK Programozható logikai vezérlõk Segédlet az Irányítástechnika I. c. tárgyhoz Összeállította: Szabó Géza egyetemi tanársegéd

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

1. Bevezetés. 2. A mikroszámítógépek felépítése

1. Bevezetés. 2. A mikroszámítógépek felépítése 1. Bevezetés A mikroelektronika és a számítástechnika története rövid. A 19. században terveztek számítógépeket, amelyek utasításkészlettel rendelkeztek (Charles Babbage). E gépeket mechanikus szerkezetként

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

SZÁMÍTÓGÉPARCHITEKTÚRÁK

SZÁMÍTÓGÉPARCHITEKTÚRÁK ESSZÉ LÁNG LÁSZLÓ Zilog mokroprocesszor családok Z800 2005. December 1. Előszó A Zilog cég betörése a piacra rendkívül eredményesnek mondható volt, sőt később sikerült a csúcsra fejleszteniük a technológiájukat.

Részletesebben

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait.

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait. Mérési útmutató A/D konverteres mérés 1. Az A/D átalakítók főbb típusai és rövid leírásuk // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait. Csoportosítás polaritás szempontjából:

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

1. ábra: Perifériára való írás idődiagramja

1. ábra: Perifériára való írás idődiagramja BELÉPTETŐ RENDSZER TERVEZÉSE A tárgy első részében tanult ismeretek részbeni összefoglalására tervezzük meg egy egyszerű mikroprocesszoros rendszer hardverét, és írjuk meg működtető szoftverét! A feladat

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Multimédia hardver szabványok

Multimédia hardver szabványok Multimédia hardver szabványok HEFOP 3.5.1 Korszerű felnőttképzési módszerek kifejlesztése és alkalmazása EMIR azonosító: HEFOP-3.5.1-K-2004-10-0001/2.0 Tananyagfejlesztő: Máté István Lektorálta: Brückler

Részletesebben

Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei. kisszámítógépes rendszerekben. Kutató Intézet

Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei. kisszámítógépes rendszerekben. Kutató Intézet Nagy adattömbökkel végzett FORRÓ TI BOR tudományos számítások lehetőségei Kutató Intézet kisszámítógépes rendszerekben Tudományos számításokban gyakran nagy mennyiségű aritmetikai művelet elvégzésére van

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással Megoldás Elméleti anyag: Processzor belső felépítése, adat

Részletesebben

Az integrált áramkörök kimenetének kialakítása

Az integrált áramkörök kimenetének kialakítása 1 Az integrált áramörö imeneténe ialaítása totem-pole three-state open-olletor Az áramörö általános leegyszerűsített imeneti foozata: + tápfeszültség R1 V1 K1 imenet V2 K2 U i, I i R2 ahol R1>>R2, és K1,

Részletesebben

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai Közlekedés gépjárművek elektronikája, diagnosztikája Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai TÁMOP-2.2.3-09/1-2009-0010 A Széchenyi István Térségi Integrált Szakképző

Részletesebben

Az INTEL D-2920 analóg mikroprocesszor alkalmazása

Az INTEL D-2920 analóg mikroprocesszor alkalmazása Az INTEL D-2920 analóg mikroprocesszor alkalmazása FAZEKAS DÉNES Távközlési Kutató Intézet ÖSSZEFOGLALÁS Az INTEL D 2920-at kifejezetten analóg feladatok megoldására fejlesztették ki. Segítségével olyan

Részletesebben

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő)

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő) Memóriák (felejtő) Memória Kapacitás Ár Sebesség Memóriák - tárak Háttértár (nem felejtő) Memória Vezérlő egység Központi memória Aritmetikai Logikai Egység (ALU) Regiszterek Programok Adatok Ez nélkül

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 számítógép felépítése 1/8 számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: : Harvard struktúra : Neumann struktúra kétféle elrendezés alapvetően egyformán

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerő perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK

MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK MISKOLCI EGYETEM VILLAMOSMÉRNÖKI INTÉZET AUTOMATIZÁLÁSI TANSZÉK ZÁRÓVIZSGA TEMATIKA Főiskolai szintű Villamosmérnöki szak Nappali tagozat FOLYAMATIRÁNYÍTÁSI ÉS KOMMUNIKÁCIÓTECHNIKAI SZAKISMERETEK (FVA)

Részletesebben

Máté: Számítógép architektúrák 2010.10.06.

Máté: Számítógép architektúrák 2010.10.06. szinkron : Minden eseményt egy előző esemény okoz! Nincs órajel, WIT, van viszont: MSYN# (kérés Master SYNchronization), SSYN# (kész Slave SYNchronization). Ugyanazon a en gyors és lassú mester szolga

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

Mikrovezérlık története (nagyon) röviden

Mikrovezérlık története (nagyon) röviden Cím: Mikrovezérlık története (nagyon) röviden Készítette: Motika László Károly SZTE TTK Mérnök Informatikus I. félév 2006. november Mikrovezérlık története (nagyon) röviden A beágyazott számítógépeket

Részletesebben

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport Beugró kérdések: 1. USART jelalak (TdX) felrajzolása adott paritás és adott számú STOP bit mellett egy kétjegyű hexa szám átvitelére. 2. RST7.5, TRAP és INT megszakítási bemenetek összehasonlítása tilthatóság

Részletesebben

ELŐADÁS 2016-01-05 SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA

ELŐADÁS 2016-01-05 SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA ELŐADÁS 2016-01-05 SZÁMÍTÓGÉP MŰKÖDÉSE FIZIKA ÉS INFORMATIKA A PC FIZIKAI KIÉPÍTÉSÉNEK ALAPELEMEI Chip (lapka) Mikroprocesszor (CPU) Integrált áramköri lapok: alaplap, bővítőkártyák SZÁMÍTÓGÉP FELÉPÍTÉSE

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Digitális Rendszerek Számítógépek

Részletesebben

ismerd meg! A PC vagyis a személyi számítógép

ismerd meg! A PC vagyis a személyi számítógép ismerd meg! A PC vagyis a személyi számítógép A számítógép elsõ ránézésre A PC az angol Personal Computer rövídítése, jelentése: személyi számítógép. A szám í- tógépek rohamos elterjedésével a személyi

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások 8. Fejezet Processzor (CPU) és memória: The Architecture of Computer Hardware and Systems Software: An Information Technology Approach 3rd Edition, Irv Englander John Wiley and Sons 2003 Wilson Wong, Bentley

Részletesebben

Számítógép Architektúrák

Számítógép Architektúrák Számítógép Architektúrák Utasításkészlet architektúrák 2015. április 11. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tsz. ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Sín műveletek z eddigiek közönséges műveletek voltak. lokkos átvitel (3.4. ábra): kezdő címen kívül az adatre kell tenni a mozgatandó adatok számát. Esetleges várakozó ciklusok után ciklusonként egy adat

Részletesebben

Programozható logikai vezérlők

Programozható logikai vezérlők Széchenyi István Egyetem Automatizálási Tanszék Programozható logikai vezérlők Dr. Hodossy László Az irányítórendszerek fejlődése Fix huzalozású rendszerek Típus Programozható rendszerek Típus Jelfogós

Részletesebben

A PIC18 mikrovezérlő család

A PIC18 mikrovezérlő család Elektronikai rendszerek laboratóriumi mérést előkészítő előadás 1 A PIC mikrovezérlők PIC mikrovezérlők 8 bites 16 bites 10Fxxx (6-pin) 12Cxxx, 12Fxxx (8-pin) 16C5x (baseline) 16Cxxx, 16Fxxx (mid-range)

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

Hangkártya programozása

Hangkártya programozása Hangkártya programozása A hangfeldolgozás és a hangok tárolási módszerei az elmúlt néhány évben a digitális technikai megoldások felé tolódtak el. Az egyik legjobb példa erre a Compact Disc és a hangkártya,

Részletesebben

Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. július 18. A mérőberendezés felhasználási

Részletesebben

DSP architektúrák dspic30f család

DSP architektúrák dspic30f család DSP architektúrák dspic30f család A Microchip 2004 nyarán piacra dobta a dspic30f családot, egy 16 bites fixpontos DSC. Mivel a mikróvezérlők tantárgy keretén belül a PIC családdal már megismerkedtetek,

Részletesebben

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK Misák Sándor PROGRAMOZHATÓ LOGIKAI VEZÉRLİK 1. elıadás DE TTK v.0.2 (2012.09.26.) 1. Bolton W. Programmable logic controllers. New Delhi: Newnes (Elsevier), 2008. 2. Hackworth J.R., Hackworth F.D, Jr.

Részletesebben

Az informatika fejlõdéstörténete

Az informatika fejlõdéstörténete Az informatika fejlõdéstörténete Elektronikus gépek A háború alatt a haditechnika fejlõdésével felmerült az igény a számítások precizitásának növelésére. Több gépet is kifejlesztettek, de ezek egyike sem

Részletesebben

Számítógépes alapismeretek

Számítógépes alapismeretek Számítógépes alapismeretek Heti óraszáma: 2 (Bagoly Zsolt, Papp Gábor) + (Barnaföldi Gergely) A tantárgy célja: korszerű információtechnológiai alapismeretek elsajátítása megismerkedés az informatikai

Részletesebben

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások 8. Fejezet Processzor (CPU) és memória: The Architecture of Computer Hardware and Systems Software: An Information Technology Approach 3rd Edition, Irv Englander John Wiley and Sons 2003 Wilson Wong, Bentley

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

Boundary Scan. Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága

Boundary Scan. Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága Boundary Scan Elméleti alapok Új digitális áramkör-vizsgálati módszer alkalmazásának indokoltsága A peremfigyelés alapelve, alapfogalmai Néhány alapvetõ részlet bemutatása A peremfigyeléses áramkörök vezérlése

Részletesebben

AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul

AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul - Csatlakozás az AF 088II rendszer digitális buszra - Kódkapcsolóval beállitható egység cím0..f - 16 db kétállapotú bemenet (=24V DC) - Galvanikus leválasztás - 1.5 kv szigetelési feszültség - Túlfeszültség

Részletesebben

DDS alapú szinusz jelgenerátor fejlesztése

DDS alapú szinusz jelgenerátor fejlesztése SZEGEDI TUDOMÁNYEGYETEM Természettudományi Kar KÍSÉRLETI FIZIKAI TANSZÉK Informatikus-fizikus DIPLOMAMUNKA DDS alapú szinusz jelgenerátor fejlesztése Készítette: Mellár János Zsolt Témavezető: Dr. Gingl

Részletesebben

3. Az elektronikus számítógépek fejlődése napjainkig 1

3. Az elektronikus számítógépek fejlődése napjainkig 1 2. Az elektronikus számítógépek fejlődése napjainkig Vázold fel az elektronikus eszközök fejlődését napjainkig! Részletesen ismertesd az egyes a számítógép generációk technikai újdonságait és jellemző

Részletesebben

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA 4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA A címben található jelölések a mikrovezérlők kimentén megjelenő tipikus perifériák, típus jelzései. Mindegyikkel röviden foglalkozni fogunk a folytatásban.

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus

54 523 01 0000 00 00 Elektronikai technikus Elektronikai technikus A 10/07 (II. 27.) SzMM rendelettel módosított 1/06 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

LEGO robotok. IV. rész

LEGO robotok. IV. rész A homályosságot úgy küszöböljük ki, hogy sok kockát exponálunk ugyanarról az objektumról, minél többet, annál jobb, és a képfeldolgozás során ezeket egy speciális asztroszoft segítségével kiátlagoljuk,

Részletesebben

Szupermikroprocesszorok és alkalmazásaik

Szupermikroprocesszorok és alkalmazásaik Szupermikroprocesszorok és alkalmazásaik VAJDA FERENC MTA Központi Fizikai Kutató Intézet Mérés- és Számítástechnikai Kutató Intézet 1. Bevezetés ÖSSZEFOGLALÁS Egy rétegezett modell alapján mutatjuk be

Részletesebben

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK Misák Sándor PROGRAMOZHATÓ LOGIKAI VEZÉRLİK 4. elıadás DE TTK v.0.1 (2011.10.05.) A PROGRAMOZHATÓ VEZÉRLİK HARDVERFELÉPÍTÉSE II. 1. A PLC-k illesztése az irányítandó objektumhoz; 2. Általános ismeretek

Részletesebben

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise.

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise. . KOMBINÁCIÓS HÁLÓZATOK A tananyag célja: kombinációs típusú hálózatok analízise és szintézise. Elméleti ismeretanyag: Dr. Ajtonyi István: Digitális rendszerek I. 2., 5., 5.2. fejezetek Elméleti áttekintés..

Részletesebben

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK)

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A digitális berendezések a feladatuk ellátása közben rendszerint nagy mennyiségű adatot dolgoznak fel. Feldolgozás előtt és után rendszerint tárolni kell az adatokat ritka

Részletesebben

Fordulatszámmérő és szabályozó áramkör tervezése egyenáramú kefés motorhoz

Fordulatszámmérő és szabályozó áramkör tervezése egyenáramú kefés motorhoz MISKOLCI EGYETEM Gépészmérnöki és Informatikai Kar Automatizálási és Infokommunikációs Intézeti Tanszéke Villamosmérnöki BSc szak Ipari automatizálás és kommunikáció szakirány Fordulatszámmérő és szabályozó

Részletesebben

DT920 Fordulatszámmérő

DT920 Fordulatszámmérő DOC N : DT920 No EEx-62 DT920 Fordulatszámmérő Felhasználói leírás Gyártó: DATCON Ipari Elektronikai Kft 1148 Budapest, Fogarasi út 5 27 ép Tel: 460-1000, Fax: 460-1001 2 Tartalomjegyzék 1 Rendeltetés4

Részletesebben

FX termékcsalád MELSEC PLC

FX termékcsalád MELSEC PLC FX termékcsalád MELSEC PLC A legsikeresebb kompakt PLC a világon Világszerte 13 millió eladott FX vezérlőegység / Több mint 30 év tapasztalat / Bővíthető kompakt PLC / Hálózatok / Analóg jelfeldolgozás

Részletesebben

2. Laborgyakorlat. Step7 programozási környezet bemutatása

2. Laborgyakorlat. Step7 programozási környezet bemutatása 2. Laborgyakorlat Step7 programozási környezet bemutatása A gyakorlat célja A Siemens valamint a Siemens alapokra épített PLC-k (pl. VIPA) programozására fejlesztették a Stpe7 programozási környezetet.

Részletesebben

Számítógép Architektúrák

Számítógép Architektúrák Multiprocesszoros rendszerek Horváth Gábor 2015. május 19. Budapest docens BME Híradástechnikai Tanszék ghorvath@hit.bme.hu Párhuzamosság formái A párhuzamosság milyen formáit ismerjük? Bit szintű párhuzamosság

Részletesebben

MEMÓRIA TECHNOLÓGIÁK. Számítógép-architektúrák 4. gyakorlat. Dr. Lencse Gábor. tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.

MEMÓRIA TECHNOLÓGIÁK. Számítógép-architektúrák 4. gyakorlat. Dr. Lencse Gábor. tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme. MEMÓRIA TECHNOLÓGIÁK Számítógép-architektúrák 4. gyakorlat Dr. Lencse Gábor 2011. október 3., Budapest tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.hu Tartalom Emlékeztető: mit kell

Részletesebben

Bevitel-Kivitel. Eddig a számítógép agyáról volt szó. Szükség van eszközökre. Processzusok, memória, stb

Bevitel-Kivitel. Eddig a számítógép agyáról volt szó. Szükség van eszközökre. Processzusok, memória, stb Input és Output 1 Bevitel-Kivitel Eddig a számítógép agyáról volt szó Processzusok, memória, stb Szükség van eszközökre Adat bevitel és kivitel a számitógépből, -be Perifériák 2 Perifériákcsoportosításá,

Részletesebben

Mielıtt használná termékünket 702008035. Az eltérı környezeti körülmény elektromos áramütést, tüzet, hibás mőködést vagy. okozhat.

Mielıtt használná termékünket 702008035. Az eltérı környezeti körülmény elektromos áramütést, tüzet, hibás mőködést vagy. okozhat. . Adatlap G rogrammable ogic Controller GOFA-GM Sorozat GM-DR20/0/0/0A Mielıtt használná termékünket 02000 Olvassa el ezt az adatlapot figyelmesen különösen ügyelve a kezelésre, beépítésre, beszerelésre

Részletesebben

Sorompó kezelés mérlegműszerrel

Sorompó kezelés mérlegműszerrel METRISoft Mérleggyártó KFT PortaWin (PW2) Jármű mérlegelő program 6800 Hódmezővásárhely Jókai u. 30 Telefon: (62) 246-657, Fax: (62) 249-765 e-mail: merleg@metrisoft.hu Web: http://www.metrisoft.hu Módosítva:

Részletesebben

Analóg és digitális jelek. Az adattárolás mértékegységei. Bit. Bájt. Nagy mennyiségû adatok mérése

Analóg és digitális jelek. Az adattárolás mértékegységei. Bit. Bájt. Nagy mennyiségû adatok mérése Analóg és digitális jelek Analóg mennyiség: Értéke tetszõleges lehet. Pl.:tömeg magasság,idõ Digitális mennyiség: Csak véges sok, elõre meghatározott értéket vehet fel. Pl.: gyerekek, feleségek száma Speciális

Részletesebben

Jeltárolás. Monitorozás

Jeltárolás. Monitorozás Jeltárolás Monitorozás 2/10 a jeleket általában rögzíteni kell a feldolgozás előtt, de a folyamatos monitorozás is nélkülözhetetlen papiríró, oszcilloszkóp, audiomonitor papiríró: toll, vagy hő; súrlódás,

Részletesebben

Számítógép architektúrák I. Várady Géza varadygeza@pmmik.pte.hu

Számítógép architektúrák I. Várady Géza varadygeza@pmmik.pte.hu Számítógép architektúrák I. Várady Géza varadygeza@pmmik.pte.hu 1 Bevezetés - fogalmak Informatika sokrétű Információk Szerzése Feldolgozása Tárolása Továbbítása Információtechnika Informatika a technikai

Részletesebben

Mikrokonverterrel vezérelt digitális jelgenerátor fejlesztése

Mikrokonverterrel vezérelt digitális jelgenerátor fejlesztése SZEGEDI TUDOMÁNYEGYETEM Természettudományi Kar Kísérleti Fizika Tanszék Informatikus Fizikus szak Mikrokonverterrel vezérelt digitális jelgenerátor fejlesztése Diplomamunka Készítette: Kopasz Péter Gábor

Részletesebben

Hardver leírás Klasszikus kontroller v.3.2.2

Hardver leírás Klasszikus kontroller v.3.2.2 StP Beléptető Rendszer Hardver leírás Klasszikus kontroller v.3.2.2 s TARTALOMJEGYZÉK 1. ALKÖZPONTOK KÖZÖTTI KOMMUNIKÁCIÓ (INTERNET)... 3 2. RS485... 3 3. OLVASÓ- ÉS KÁRTYATÍPUSOK, OLVASÓ KEZELÉS, EGY

Részletesebben

A megfelelő IP védelem biztosításával, alkalmasak a kültéri alkalmazások kialakítására.

A megfelelő IP védelem biztosításával, alkalmasak a kültéri alkalmazások kialakítására. AA-RC1A v2.3 Technikai adatok: Tápfeszültség: 12-24V Digitális / Logikai kimenetek: 8 darab open-collector kimenet, közvetlenül relé meghajtására alkalmasak, 500mA terhelhetőségűek Digitális bemenetek:

Részletesebben

Felhasználói kézikönyv. TB6560HQV3-T3 (V type) 3 tengelyes léptetőmotor vezérlő

Felhasználói kézikönyv. TB6560HQV3-T3 (V type) 3 tengelyes léptetőmotor vezérlő Felhasználói kézikönyv TB6560HQV3-T3 (V type) 3 tengelyes léptetőmotor vezérlő Mikrolépés lehetősége: 1, 1/2, 1/8, 1/16. A vezérlő 3 tengely meghajtására képes, egyszerűen bővíthető a rendszer egy 4. tengellyel.

Részletesebben

Számítógép Architektúrák I-II-III.

Számítógép Architektúrák I-II-III. Kidolgozott államvizsgatételek Számítógép Architektúrák I-II-III. tárgyakhoz 2010. június A sikeres államvizsgához kizárólag ennek a dokumentumnak az ismerete nem elégséges, a témaköröket a Számítógép

Részletesebben

Processzor (CPU - Central Processing Unit)

Processzor (CPU - Central Processing Unit) Készíts saját kódolású WEBOLDALT az alábbi ismeretanyag felhasználásával! A lap alján lábjegyzetben hivatkozz a fenti oldalra! Processzor (CPU - Central Processing Unit) A központi feldolgozó egység a

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

K_EITS8, Multichannel Impedance Meter 2013.08.05. K_EITS8, nyolc csatornás elektromos impedancia mérő berendezés

K_EITS8, Multichannel Impedance Meter 2013.08.05. K_EITS8, nyolc csatornás elektromos impedancia mérő berendezés , Multichannel Impedance Meter 2013.08.05., nyolc csatornás elektromos impedancia mérő berendezés (, 8 ch electrical impedance tomography & spectroscope) A természetben előforduló anyagok (kőzetek, élő

Részletesebben

Mérési utasítás Mikrokontroller programozás 2.sz. mérés

Mérési utasítás Mikrokontroller programozás 2.sz. mérés Mérési utasítás Mikrokontroller programozás 2.sz. mérés Szükséges ismeretanyag: - IBM PC kezelése, szövegszerkesztés, Double Commander - SB80C515 mikrokontroller felépítése, utasításai - HyperTerminál

Részletesebben

Interrupt. ile ile 1 / 81

Interrupt. ile ile 1 / 81 Interrupt ile ile 1 / 81 ile ile 2 / 81 ile ile 3 / 81 ile ile 4 / 81 ile ile 5 / 81 ile ile 6 / 81 ile ile 7 / 81 ile ile 8 / 81 ile ile 9 / 81 Diszk ile ile 10 / 81 ile ile 11 / 81 ile ile 12 / 81 ile

Részletesebben

I 2 C, RS-232 és USB. Informatikai eszközök fizikai alapjai. Oláh Tamás István 2015.04.08

I 2 C, RS-232 és USB. Informatikai eszközök fizikai alapjai. Oláh Tamás István 2015.04.08 I 2 C, RS-232 és USB Informatikai eszközök fizikai alapjai Oláh Tamás István 2015.04.08 Az I 2 C Busz Phillips által kifejlesztett kétvezetékes szinkron adatátviteli eszköz integrált áramkörök összekapcsolására

Részletesebben

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 4. előadás: Utasítás végrehajtás folyamata: címzési módok, RISC-CISC processzorok Előadó:

Részletesebben

Számítógépek. 2.a) Ismertesse a kombinációs hálózatok alapelemeit és a funkcionálisan teljes rendszer

Számítógépek. 2.a) Ismertesse a kombinációs hálózatok alapelemeit és a funkcionálisan teljes rendszer Számítógépek 1.a) Ismertesse az információ analóg és digitális leképzésének lehetőségeit, a számrendszereket és a gyakoribb kódrendszereket! Jellemezze a logikai függvényeket, és mutassa be az egyszerűsítési

Részletesebben

1. Digitális integrált áramkörök

1. Digitális integrált áramkörök 1. gyakorlat Digitális technika II. BSC képzés BME-IIT 1 1. Digitális integrált áramkörök 1.1. Logikai függvény elektronikus megvalósítása Figyelembe vett szempontok: legyen könnyen sokszorosítható legyenek

Részletesebben

ÍRÁSBELI FELADAT MEGOLDÁSA

ÍRÁSBELI FELADAT MEGOLDÁSA 54 523 01-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT MEGOLDÁSA Szakképesítés: 54 523 01 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet

Részletesebben

5 Egyéb alkalmazások. 5.1 Akkumulátorok töltése és kivizsgálása. 5.1.1 Akkumulátor típusok

5 Egyéb alkalmazások. 5.1 Akkumulátorok töltése és kivizsgálása. 5.1.1 Akkumulátor típusok 5 Egyéb alkalmazások A teljesítményelektronikai berendezések két fõ csoportját a tápegységek és a motorhajtások alkotják. Ezekkel azonban nem merülnek ki az alkalmazási lehetõségek. A továbbiakban a fennmaradt

Részletesebben

MICROCHIP PIC DEMO PANEL

MICROCHIP PIC DEMO PANEL 1 MICROCHIP PIC DEMO PANEL A cél: egy olyan, Microchip PIC mikrokontrollerrel felépített kísérleti panel készítése, ami alkalmas a PIC-ekkel való ismerkedéshez, de akár mint vezérlı panel is használható

Részletesebben

Whead 3.0. Szélsebesség és széliránymérő illesztő távadó. Előzetes

Whead 3.0. Szélsebesség és széliránymérő illesztő távadó. Előzetes Whead 3.0 Szélsebesség és széliránymérő illesztő távadó Előzetes UNITEK 2006-2013 Whead Szélsebesség és széliránymérő illesztő távadó 2 Unitek Whead Szélsebesség és széliránymérő távadó Általános leírás

Részletesebben

Villamosmérnöki BSc Záróvizsga tételsor Módosítva 2016. január 6. DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK

Villamosmérnöki BSc Záróvizsga tételsor Módosítva 2016. január 6. DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK DIGITÁLIS ÁRAMKÖRÖK ÉS ALKATRÉSZEK 1. A Boole algebra axiómái és tételei. Logikai függvények megadása. A logikai függvények fajtái. Egyszerősítés módszerei. 2. A logikai függvények kanonikus alakjai. Grafikus

Részletesebben

The modular mitmót system. A DPY-LED perifériakártya

The modular mitmót system. A DPY-LED perifériakártya The modular mitmót system A DPY-LED perifériakártya Kártyakód: DPY-LED-S-0b Felhasználói és fejlesztői dokumentáció Dokumentációkód: -D0a Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és

Részletesebben

MUNKAANYAG. Tordai György. Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása

MUNKAANYAG. Tordai György. Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása Tordai György Kombinációs logikai hálózatok II. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

Jármû-elektronika ELEKTRONIKAI-INFORMATIKAI SZAKFOLYÓIRAT. 2003. november. 890 Ft. XII. évfolyam 7. szám

Jármû-elektronika ELEKTRONIKAI-INFORMATIKAI SZAKFOLYÓIRAT. 2003. november. 890 Ft. XII. évfolyam 7. szám XII. évfolyam 7. szám ELEKTRONIKAI-INFORMATIKAI SZAKFOLYÓIRAT 890 Ft 2003. november Jármû-elektronika Gyorsulásszenzorok az autóiparban (2. rész) SZEGEDI ANDRÁS Az elôzô részben bemutatásra került az autóiparban

Részletesebben

VLIW processzorok (Működési elvük, jellemzőik, előnyeik, hátrányaik, kereskedelmi rendszerek)

VLIW processzorok (Működési elvük, jellemzőik, előnyeik, hátrányaik, kereskedelmi rendszerek) SzA35. VLIW processzorok (Működési elvük, jellemzőik, előnyeik, hátrányaik, kereskedelmi rendszerek) Működési elvük: Jellemzőik: -függőségek kezelése statikusan, compiler által -hátránya: a compiler erősen

Részletesebben

Gyôztes minden ipari rendszerben

Gyôztes minden ipari rendszerben Automatizálási alkalmazásokhoz Modicon Premium Gyôztes minden ipari rendszerben > Premium Automatizálás Üdvözöljük a Telemecanique Simply Smart* világában. A nagy teljesítmény, az egyszerûség, a modularitás,

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető

Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető A DSP (Digital Signal Processor) mikrórendszer a világon a legelterjedtebb beágyazott rendszerben használt processzor. A DSP tulajdonságok

Részletesebben

Gábor Dénes Főiskola Győr. Mikroszámítógépek. Előadás vázlat. 2004/2005 tanév 4. szemeszter. Készítette: Markó Imre 2006

Gábor Dénes Főiskola Győr. Mikroszámítógépek. Előadás vázlat. 2004/2005 tanév 4. szemeszter. Készítette: Markó Imre 2006 Gábor Dénes Főiskola Győr Mikroszámítógépek Előadás vázlat 102 2004/2005 tanév 4. szemeszter A PROCESSZOR A processzorok jellemzése A processzor felépítése A processzorok üzemmódjai Regiszterkészlet Utasításfelépítés,

Részletesebben

Elektronikus dobókocka

Elektronikus dobókocka Elektronikus dobókocka I. Feladat: egy olyan készülék elkészítése, amely a különféle játékokban használatos dobókockát helyettesíti. II. Gyakorlati megvalósítása: Az elektronikus dobókocka szerkezetileg

Részletesebben

1. A Neumann-elvű számítógép felépítése

1. A Neumann-elvű számítógép felépítése 1. A Neumann-elvű számítógép felépítése 1.1. A leckében szereplő ismeretek A Neumann-elvű számítógépek felépítése Központi egységek, bemeneti és kimeneti egységek, a periféria fogalma. A CPU és a memória

Részletesebben

Szegmentálás. Memória kezelési stratégia mely a felhasználó nézőpontját támogatja Például:

Szegmentálás. Memória kezelési stratégia mely a felhasználó nézőpontját támogatja Például: Szegmentálás 1 Szegmentálás Memória kezelési stratégia mely a felhasználó nézőpontját támogatja Például: Egy program szegmensekből áll Mindegyik szegmens külön címtér Egy eljárás nullás címen kezdődik

Részletesebben

2016/06/23 07:47 1/13 Kérdések

2016/06/23 07:47 1/13 Kérdések 2016/06/23 07:47 1/13 Kérdések < Számítástechnika Kérdések Hardver Kérdés 0001 Hány soros port lehet egy PC típusú számítógépen? 4 COM1 COM2 COM3 COM4 Kérdés 0002 Egy operációs rendszerben mit jelent a

Részletesebben