Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt"

Átírás

1 BME Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt Visual Studio, SystemC, SDL Tóth Gergely Endre

2 1 Bevezetés Ebben a dokumentumban leírom, hogy hogyan lehet Visual Studio segítségével SystemC nyelven fejleszteni. Ezután ismertetem, hogy hogyan hozzunk létre SDL grafikus könyvtár+systemc projektet. Az egyes lépéseket Windows8 alatt, Visual Studio 2012-vel mutatom be, ezen a platformon teszteltem. Ugyanakkor működni kell Window7 és/vagy Visual Studio 2010 segítségével is. Ha csak SystemC-t akarunk, akkor csak a 2. fejezetet olvassuk el. Ha SDL-t is szeretnénk, akkor csak a 3. fejezet lényeges. Az SDL projekt létrehozásának lépései az [5] alapján készültek. A SystemC projekt beállításait a [6] forrás adta meg. Jelen dokumentum egyesíti a két forrást, és felfedi hogyan lehet egy olyan projektet létrehozni, amelyben mind az SDL, mind a SystemC elérhető Visual Studio alatt. Vannak ugyanis olyan beállítások, amelyek ütköznek a két fenti dokumentum alapján (Runtime library: MTd!). 2 SystemC projekt létrehozása 2.1 SystemC lib fordítása 1. Töltsük le a legújabb SystemC-t a hivatalos honlapról [1]. Esetemben a verzió a legfrissebb. 2. A letöltött fájl tartalmát érdemes a Visual Studio Project mappájába egy SystemC könyvtárba tenni 3. Az így kicsomagolt mappák között keressük ki a SystemC\msvc80\SystemC\SystemC.sln fájlt, és nyissuk meg a Visual Studióval a. Ha feljön a Conversion Wizard, akkor értelemszerűen menjünk végig rajta 4. Visual Studio 2012 esetén elvégzendő (2010 esetén nem kell): a. A Header fájlok között keressük ki a sc_cmnhdr.h fájlt, és módosítsuk a következőképpen a lent látható pár sort (ez egy ismert bug, az új SystemC-k valószínűleg mentesek lesznek tőle. A hivatalos forrás erről a bug-ról [2]): Eredeti Módosított // MSVC6.0 for() scope bug #if _MSC_VER < 1300 // MSVC6.0 for() scope bug #define for if( false ); else # define for if( false ); else for for #endif #endif #endif b. Enélkül a lib nem fog fordulni! 5. BUILD -> Build Solution vagy F7-tel lefordíthatjuk a projectet (pár warning lehet a deprecated funkciók miatt, de a projektnek fordulnia kell). Érdemes debug és release módban is fordítani 6. A kimenete a Debug és Release mappában lévő egy-egy SystemC.lib 1

3 2.2 SystemC projekt létrehozása Hozzunk létre egy új projektet: A megjelenő új ablakon az Application Settings résznél klikklejük be az Empty Project-et, majd Finish! Ezután a menüsorból Project -> Properties. Utána Configuration Properties -> C/C++ -> General ablakban az Additional Include Directories-hez adjuk hozzá a SystemC src mappáját: a legördülő listából válasszuk ki az Edit-et, és keressük ki a megfelelő mappát ( \Visual Studio 2012\Projects\SystemC\src\), majd OK. 2

4 Állítsuk át még a következőket: 3

5 Adjuk hozzá a \Visual Studio 2012\Projects\SystemC\msvc80\SystemC\Debug elérést a lenti kép alapján: 4

6 Ha akarunk release módot is, akkor bal felső sarokban tegyük át release-re, és végezzük el újra a fentieket. Ezek után OK-zuk le a Property Pages ablakot, és adjunk a projekthez egy main.cpp-t a következővel: #include "systemc.h" SC_MODULE (hello_world) { SC_CTOR (hello_world) { /*Empty*/ void say_hello() { cout << "Hello World.\n"; ; int sc_main(int argc, char* argv[]) { hello_world hello("hello"); hello.say_hello(); return(0); A projektet lefordítva, és futtatva az eredmény: Így kaptunk tehát egy SystemC-s Console Application projektet, ami fejlesztéshez, debugoláshoz kiváló. Érdemes a fejlesztést itt kezdeni. 3 SystemC és SDL projekt létrehozása 3.1 SystemC lib fordítása Ld. előző fejezet (SystemC lib fordítása). 5

7 3.2 SDL libek fordítása Töltsük le a hivatalos honlapról [3] a legújabb SDL forrás fájlokat (ne a lefordítottakat, mert azok MD módban vannak fordítva, SystemC-hez MtD kell, ezért fordítanunk kell egyet magunknak!). A kicsomagolt mappák között keressük ki a VisualC-t és nyissuk meg az SDL.sln-t. A megjelenő párbeszéd ablakokat értelemszerűen okézzuk le, hogy a Visual Studio importálni tudja a projektet. Mind az SDL és SDLmain projekt tulajdonágát változtassuk meg: Ezután jobb klikk az SDL projekten és Build. Ugyanezt tegyük meg az SDLmain-nal! A következő fájlok kellenek ezek után: a VisualC\Debug mappából a SDL.lib, az SDLmain\Debug mappából az SDLmain.lib és az SDL\debug mappából az SDL.dll (ha akarunk release-t, akkor fordítsunk azt is, értelemszerűen az a release mappában lesznek). Ezeket a fájlokat érdemes kitenni egy SDL\lib mappába (x64/x86 dolgokra figyeljünk! Alapból minden x86, ami megfelelő első közelítésben). Szükségünk lesz még továbbá az include mappára (a lib és dll fájlok belépési pontjait tartalmazzák), ezt is érdemes a fenti SDL mappába bemásolni. Tehát van egy SDL mappánk egy lib és include könyvtárral, benne a megfelelő fájlokkal. 3.3 Projekt létrehozása Hozzunk létre egy új projektet: 6

8 Ezután a menüsorból Project -> Properties. Utána Configuration Properties -> C/C++ -> General ablakban állítsuk be a SystemC és SDL útvonalakat: Továbbá: 7

9 8

10 9

11 Ha akarunk release módot is, akkor bal felső sarokban tegyük át release-re, és végezzük el újra a fentieket. 10

12 Ha megvagyunk, adjunk a projekthez egy cpp fájlt a következő tartalommal: #include "SDL.h" int main(int argc, char** argv){ SDL_Init(SDL_INIT_EVERYTHING); SDL_Quit(); return 0; A projektnél ahova ez a cpp fájl került másoljuk mellé az SDL.dll-t (ott van az SDL mappánk lib könyvtárában, ha úgy jártunk el, ahogy előzőleg írtam). Ezután fordítsuk a fájlt, és futassuk le. Nem szabad semmilyen hibaüzenetnek, exception-nak keletkeznie. Ha igen, akkor az SDL beállításai közül kihagytunk valamit. Ha eddig OK, akkor a következőt másoljuk a main.cpp-be: #include "SDL.h" #include "systemc.h" SC_MODULE (counter) { sc_in_clk clock; sc_in<bool> reset; sc_in<bool> enable; sc_out<sc_uint<4> > out; sc_uint<4> count; void work() { while(true) { wait(); if (reset.read() == 1) { count = 0; out.write(count); else if (enable.read() == 1) { count = count + 1; out.write(count); SC_CTOR(counter) { SC_THREAD(work); sensitive << clock.pos(); ; count = 0; int main(int argc, char** argv){ SDL_Init(SDL_INIT_EVERYTHING); SDL_Quit(); sc_set_time_resolution(1, SC_US); counter cnt1("cnt1"); sc_clock clock("clk", sc_time(2,sc_us), 0.5, sc_time(0,sc_us), 1 ); sc_signal<bool> res; 11

13 sc_signal<bool> en; sc_signal<sc_uint<4> > out; cnt1.clock(clock); cnt1.reset(res); cnt1.enable(en); cnt1.out(out); sc_trace_file *wf = sc_create_vcd_trace_file("counter"); sc_trace(wf, clock, "clock"); sc_trace(wf, res, "reset"); sc_trace(wf, en, "enable"); sc_trace(wf, out, "out"); res.write(true); en.write(false); sc_start(2, SC_US); res.write(false); en.write(true); sc_start(20, SC_US); sc_close_vcd_trace_file(wf); return 0; Ez már SystemC és SDL. Fordítás, és futás után nem lehet semmilyen hibaüzenet vagy exception. A kimenet egy counter.vcd, aminek a jelalakja: Ez a projekt használható, elérjük benne a SystemC-t és az SDL-t. Egy valamire viszont felhívnám a figyelmet: a main függvénynek így kell kinéznie a SystemC szintaktika ellenére: int main(int argc, char** argv){ return 0; Ez az SDL miatt kötelező. Tesztjeim alapján a SystemC-nek nem okoz gondot. A projektről a FILE -> Export Template funkcióval készíthetünk egy sablon projektet, így nem kell a fentieket új projekt esetén elvégezni. 12

14 3.4 Eredmény Egy általam írt SystemC-s 6502-es processzor, SDL grafikus felület és Czirkos Zoltán által írt kukacos játék eredménye: Egy SystemC-t és SDL-t használó forrás elérhető Czirkos Zoltán honlapjáról [4], ebbe a projektbe illesztve az eredmény: 13

15 4 Irodalomjegyzék [1] SystemC hivatalos forrás: [2] SystemC bug Visual Studio 2012 alatt: [3] SDL grafikus könyvtár: [4] Czirkos Zoltán: SystemC és SDL segítségével egy kukacos játék: [5] TwinklebearDev: Setting up SDL in Visual Studio: twinklebeardev.blogspot.hu/2012/07/setting-up-sdl-in-visual-studio.html [6] Mihai Fonoage: SystemC with Microsoft Visual Studio 2005 and 2008: Studio.pdf 14

A Microsoft Visual Studio 2005 fejlesztőkörnyezet

A Microsoft Visual Studio 2005 fejlesztőkörnyezet Vizuális és eseményvezérelt programozás BMF NIK A Microsoft Visual Studio 2005 fejlesztőkörnyezet Az integrált fejlesztőkörnyezet (IDE) alapelemei Projektek és megoldások Új projekt indítása, projektek

Részletesebben

Programozási alapismeretek :: beadandó feladat. Felhasználói dokumentáció. Molnár Tamás MOTIABT.ELTE motiabt@inf.elte.

Programozási alapismeretek :: beadandó feladat. Felhasználói dokumentáció. Molnár Tamás MOTIABT.ELTE motiabt@inf.elte. Programozási alapismeretek :: beadandó feladat Készítő adatai Név: Molnár Tamás EHA: MOTIABT.ELTE E-mail cím: motiabt@inf.elte.hu Gyakorlatvezető: Horváth László Feladat sorszáma: 23. Felhasználói dokumentáció

Részletesebben

C++ programozási nyelv Struktúrák a C++ nyelvben Gyakorlat

C++ programozási nyelv Struktúrák a C++ nyelvben Gyakorlat C++ programozási nyelv Struktúrák a C++ nyelvben Gyakorlat Nyugat-Magyarországi Egyetem Faipari Mérnöki Kar Informatikai Intézet Soós Sándor 2004. szeptember A C++ programozási nyelv Soós Sándor 1/12 Input-output

Részletesebben

Számítógépes grafika

Számítógépes grafika Számítógépes grafika XXVIII. rész OpenGL Visual C++-ban Ha OpenGL programot szeretnénk létrehozni VisualC++-ban, három lehetőségünk van: Win32 alkalmazás, Win32 konzol alkalmazás és MFC platformon történő

Részletesebben

Országzászlók (2015. május 27., Sz14)

Országzászlók (2015. május 27., Sz14) Országzászlók (2015. május 27., Sz14) Írjon programot, amely a standard bemenetről állományvégjelig soronként egy-egy ország zászlójára vonatkozó adatokat olvas be! Az egyes zászlóknál azt tartjuk nyilván,

Részletesebben

Bevezetés A SystemC célja A SystemC alapjai SystemC típusok Modulok Rendszerek Csatornák. Budapesti Műszaki és Gazdaságtudományi Egyetem

Bevezetés A SystemC célja A SystemC alapjai SystemC típusok Modulok Rendszerek Csatornák. Budapesti Műszaki és Gazdaságtudományi Egyetem Budapesti Műszaki és Gazdaságtudományi Egyetem SystemC áttekintés Dr. Czirkos Zoltán, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Dr. Czirkos Zoltán, Horváth Péter SystemC áttekintés

Részletesebben

Rendszerszintű tervezés: SystemC I.

Rendszerszintű tervezés: SystemC I. Rendszerszintű tervezés: SystemC I. Czirkos Zoltán BME EET 2016. február 24. Miről lesz szó? Magas szintű tervezés programozási nyelvek segítségével HDL leírás (Verilog / VHDL) is emlékeztet egy programra

Részletesebben

CodeBlocks installálási segédlet

CodeBlocks installálási segédlet CodeBlocks installálási segédlet A CodeBlocks-EP tömörített fájlt a jobb gombbal rajta kattintva, majd a Cél mentése másként menüpontot választva mentsük le egy előzetesen létrehozott könyvtárba. A lementett

Részletesebben

Széchenyi István Egyetem www.sze.hu/~herno

Széchenyi István Egyetem www.sze.hu/~herno Oldal: 1/6 A feladat során megismerkedünk a C# és a LabVIEW összekapcsolásának egy lehetőségével, pontosabban nagyon egyszerű C#- ban írt kódból fordítunk DLL-t, amit meghívunk LabVIEW-ból. Az eljárás

Részletesebben

VII. Tesztkörnyezet felépítése

VII. Tesztkörnyezet felépítése VII. Tesztkörnyezet felépítése 1 VII.1. Szimuláció folyamatát vezérlő eszközök SystemC-ben sc_start sc_stop sc_time_stamp sc_simulation_time sc_clock sc_trace sc_cycle és az sc_initialize sc_time 2 sc_start

Részletesebben

Visual Basic 2005 Express Edition A VISUAL STUDIO HASZNÁLATA NEM LEKTORÁLT VÁLTOZAT

Visual Basic 2005 Express Edition A VISUAL STUDIO HASZNÁLATA NEM LEKTORÁLT VÁLTOZAT Visual Basic 2005 Express Edition A VISUAL STUDIO HASZNÁLATA Összeállította: Juhász Tibor (2008) NEM LEKTORÁLT VÁLTOZAT A dokumentumban elıforduló hibákat és egyéb megjegyzéseket kérjük a juhaszt@zmgzeg.sulinet.hu

Részletesebben

Egységes és objektumközpontú adatbázis-kezelés (2. rész)

Egységes és objektumközpontú adatbázis-kezelés (2. rész) Egységes és objektumközpontú adatbázis-kezelés (2. rész) A folytatásában a bemutatjuk, hogyan kezelhetünk Qt rendszer alatt SQL sormutatót, és készíthetünk grafikus felületet programoknak a Qt Designer

Részletesebben

Programozás I. 5. Előadás: Függvények

Programozás I. 5. Előadás: Függvények Programozás I 5. Előadás: Függvények Függvény Egy alprogram Egy C program általában több kisméretű, könnyen értelmezhető függvényből áll Egy függvény megtalálható minden C programban: ez a main függvény

Részletesebben

Géptermi zh-írás forgatókönyve

Géptermi zh-írás forgatókönyve Géptermi zh-írás forgatókönyve 1. A feladat és a tesztelés körülményeinek a megértése A feladat több részbıl áll. A megoldó program kötött szerkezető fájlból kapja az adatokat, ezért azt komolyan kell

Részletesebben

Programozás alapjai 1. (BMEVIEEA100)

Programozás alapjai 1. (BMEVIEEA100) Programozás alapjai 1. (BMEVIEEA100) Gyakorlat anyaga az 6. oktatási héten (4-5. gyakorlat) A 7. oktatási hét péntekje előbbre csúszik a 6. hét szombatjára, ezért a 7. heti anyagot a szokottnál előbb kapjátok

Részletesebben

Programozás I. gyakorlat

Programozás I. gyakorlat Programozás I. gyakorlat 1. gyakorlat Alapok Eszközök Szövegszerkesztő: Szintaktikai kiemelés Egyszerre több fájl szerkesztése pl.: gedit, mcedit, joe, vi, Notepad++ stb. Fordító: Szöveges file-ban tárolt

Részletesebben

USBTiny-MKII programozó

USBTiny-MKII programozó USBTiny-MKII programozó Software telepítés Miután elkészítettük a programozónkat és az hibátlanra sikerült (forrasztások, összes átkötés via megléte, szemrevételezés legalább 12x-s nagyítóval, különös

Részletesebben

Programozás C++ -ban 2007/4

Programozás C++ -ban 2007/4 Programozás C++ -ban 2007/4 1. Az adatokhoz való hozzáférés ellenőrzése Egy C programban a struktúrák minden része mindig elérhető. Ugyanakkor ez nem a legkedvezőbb helyzet. Több szempontból is hasznos

Részletesebben

Szoftveresen tárolt tanúsítványok telepítési útmutatója

Szoftveresen tárolt tanúsítványok telepítési útmutatója Szoftveresen tárolt tanúsítványok telepítési útmutatója Windows XP, Vista és Windows 7 rendszeren 1(25) 1. Tartalomjegyzék 1. Tartalomjegyzék... 2 2. Bevezető... 4 3. A szoftver/hardver korlátozásai...

Részletesebben

Elemi alkalmazások fejlesztése IV. Adatbázis-kezelés ActiveX vezérlıkkel - 1

Elemi alkalmazások fejlesztése IV. Adatbázis-kezelés ActiveX vezérlıkkel - 1 ADATBÁZIS-KEZELÉS ACTIVEX VEZÉRLİK ALKALMAZÁSÁVAL I.... 1 ACTIVEX... 1 ACTIVEX CONTROL... 1 SAJÁT ACTIVEX VEZÉRLİ LÉTREHOZÁSA... 1 circctrl.cpp... 2 Háttérszín tulajdonság hozzárendelése a vezérlıhöz...

Részletesebben

Programozás II gyakorlat. 4. Öröklődés

Programozás II gyakorlat. 4. Öröklődés Programozás II gyakorlat 4. Öröklődés Feladat Egy játékfejlesztő cég olyan programot fejleszt, amely nyilvántartja az alkalmazottai adatait. Tároljuk minden személy: Nevét (legfeljebb 50 karakter) Születési

Részletesebben

Tartalom DCOM. Történeti áttekintés. Történeti áttekintés. Történeti áttekintés. Történeti áttekintés

Tartalom DCOM. Történeti áttekintés. Történeti áttekintés. Történeti áttekintés. Történeti áttekintés Tartalom D Szoftvertechnológia elıadás Architektúra D vs CORBA Példá 2 1987 Dynamic Data Exchange (DDE) Windows 2.0-ban Windows alkalmazások közötti adatcsere Ma is használatos (pl. vágólap) NetDDE NetBIOS

Részletesebben

Hello World Servlet. Készítsünk egy szervletet, amellyel összeadhatunk két számot, és meghívásakor üdvözlőszöveget ír a konzolra.

Hello World Servlet. Készítsünk egy szervletet, amellyel összeadhatunk két számot, és meghívásakor üdvözlőszöveget ír a konzolra. Hello World Servlet Készítsünk egy szervletet, amellyel összeadhatunk két számot, és meghívásakor üdvözlőszöveget ír a konzolra. Hozzunk létre egy Dynamic Web projectet File New Other itt a következőket

Részletesebben

15. Programok fordítása és végrehajtása

15. Programok fordítása és végrehajtása 15. Programok fordítása és végrehajtása Programok fordítása és végrehajtása. (Fordítás és interpretálás, bytecode. Előfordító, fordító, szerkesztő. A make. Fordítási egység, könyvtárak. Szintaktikus és

Részletesebben

117. AA Megoldó Alfréd AA 117.

117. AA Megoldó Alfréd AA 117. Programozás alapjai 2. (inf.) pót-pótzárthelyi 2011.05.26. gyak. hiányzás: kzhpont: MEG123 IB.028/117. NZH:0 PZH:n Minden beadandó megoldását a feladatlapra, a feladat után írja! A megoldások során feltételezheti,

Részletesebben

Osztály és objektum fogalma

Osztály és objektum fogalma Osztály és objektum fogalma A C++ programozási nyelv I. CPP1/ 1 Az osztály (class) class: adatok és módszerek (method) (függvények) együttese, amely absztrakt adattípusként működik. objektum: egy osztály

Részletesebben

Vizuális, eseményvezérelt programozás I.

Vizuális, eseményvezérelt programozás I. Vizuális, eseményvezérelt programozás I. Visual Studio ismétlés Grafikus felület tervezése Vezérlők alapvető tulajdonságai, metódusai, eseményei Hibakezelés V 1.0 1 Hallgatói tájékoztató A jelen bemutatóban

Részletesebben

4. Öröklődés. Programozás II

4. Öröklődés. Programozás II 4. Öröklődés Programozás II Mielőtt belevágunk Egy Tárgy típusú objektumokat tároló tömb i. elemében tároljunk el egy új tárgyat Rossz módszer: tomb[i].setnev( uj.getnev() ); tomb[i].setertek( uj.getertek()

Részletesebben

C++ programok fordítása

C++ programok fordítása C++, 1/ 33 C++ programok fordítása Pataki Norbert 2012. február 24. C++, 2/ 33 Információk Pataki Norbert, patakino@elte.hu http://patakino.web.elte.hu/levelezo Jegy: gyakorlat, Szűgyi Zalán C++, 3/ 33

Részletesebben

Bevezetés a Programozásba II 11. előadás. Adatszerkezetek megvalósítása. Adatszerkezetek megvalósítása Adatszerkezetek

Bevezetés a Programozásba II 11. előadás. Adatszerkezetek megvalósítása. Adatszerkezetek megvalósítása Adatszerkezetek Pázmány Péter Katolikus Egyetem Információs Technológiai és Bionikai Kar Bevezetés a Programozásba II 11. előadás 2014.05.12. Giachetta Roberto groberto@inf.elte.hu http://people.inf.elte.hu/groberto Adatszerkezetek

Részletesebben

Elemi alkalmazások fejlesztése III.

Elemi alkalmazások fejlesztése III. Elemi alkalmazások fejlesztése III. Grafikus felületű alkamazások készítése Bevezetés I. Készítette: Szabóné Nacsa Rozália nacsa@inf.elte.hu people.inf.elte.hu/nacsa/qt4/eaf3/ Qt 4 2009 1 www.trolltech.com

Részletesebben

MPLAB leírás V1.1. Projektek kezelése. MPLAB leírás - dr. Kónya László 1

MPLAB leírás V1.1. Projektek kezelése. MPLAB leírás - dr. Kónya László 1 MPLAB leírás - dr. Kónya László 1 MPLAB leírás V1.1 számára. Nem helyettesíti a kézikönyvet, és nem törekszik a teljességre. A menükkel való ismerkedésnek a legjobb módja, azok kipróbálása. Feltételezzük

Részletesebben

main int main(int argc, char* argv[]) { return 0; } main return 0; (int argc, char* argv[]) main int int int main main main

main int main(int argc, char* argv[]) { return 0; } main return 0; (int argc, char* argv[]) main int int int main main main main int main(int argc, char* argv[]) { return 0; main main int int main int return 0; main (int argc, char* argv[]) main #include #include int main(int argc, char* argv[]) { double

Részletesebben

4. Gyakorlat: Csoportházirend beállítások

4. Gyakorlat: Csoportházirend beállítások 4. Gyakorlat: Csoportházirend beállítások 4.1. A Default Domain Policy jelszóra vonatkozó beállításai 4.2. Parancsikon, mappa és hálózati meghajtó megjelenítése csoport házirend segítségével 4.3. Alkalmazások

Részletesebben

I. fejezet Hello Világ! Programozás tankönyv. II. Fejezet. Helló Világ! avagy a Miért?-ek elkezdődnek

I. fejezet Hello Világ! Programozás tankönyv. II. Fejezet. Helló Világ! avagy a Miért?-ek elkezdődnek I. fejezet Hello Világ! Programozás tankönyv II. Fejezet Helló Világ! avagy a Miért?-ek elkezdődnek 1 Programozás tankönyv I. fejezet Majd minden programozó ezzel a kedves kis programmal kezdi a programozás-tanulást:

Részletesebben

3. Hőmérők elkészítése

3. Hőmérők elkészítése 3. Hőmérők elkészítése A jelenlegi hőmérőink pt100-as ellenállás hőmérők. Ezeknek az ellenállását szükséges digitális jellé alakítani, és egy 7-szegmenses kijelzővel egy tized pontossággal kijelezni, valamint

Részletesebben

Entity Framework alapú adatbáziselérés

Entity Framework alapú adatbáziselérés Entity Framework alapú adatbáziselérés Dr. Johanyák Zsolt Csaba http://johanyak.hu A gyakorlat célja Model-first megközelítéssel Entity-Framework modell létrehozása, majd ebből adatbázis generálása LocalDB-ben.

Részletesebben

SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel

SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel Czirkos Zoltán 2015. augusztus 26. Kivonat Az ismertetett SystemC modul egy mikroprocesszoros rendszerhez illeszthető megjelenítő

Részletesebben

DWL-G520 AirPlus Xtreme G 2,4GHz Vezeték nélküli PCI Adapter

DWL-G520 AirPlus Xtreme G 2,4GHz Vezeték nélküli PCI Adapter Ez a termék a következő operációs rendszereket támogatja: Windows XP, Windows 2000, Windows Me, Windows 98SE DWL-G520 AirPlus Xtreme G 2,4GHz Vezeték nélküli PCI Adapter Előfeltételek Legalább az alábbiakkal

Részletesebben

Access adatbázis elérése OLE DB-n keresztül

Access adatbázis elérése OLE DB-n keresztül Access adatbázis elérése OLE DB-n keresztül Készítsünk egy grafikus felülető alkalmazást, ami lehetıvé teszi egy Access adatbázisban tárolt hallgatói adatok (EHA, Név, e-mail cím) lekérdezését (összes

Részletesebben

libgdx alapok, első alkalmazás

libgdx alapok, első alkalmazás A libgdx letöltésének, telepítési lépéseinek bemutatása, projekt létrehozása, első libgdx alkalmazás elkészítése képernyőképekkel, magyarázatokkal. libgdx alapok, első alkalmazás Android játékfejlesztés

Részletesebben

Elemi alkalmazások fejlesztése I.

Elemi alkalmazások fejlesztése I. Steingart Ferenc el adása alapján készítette: Szabóné Nacsa Rozália Integrált fejleszt környezet Linux MS Win* www.kdevelop.org www.bloodshed.net Bevezetés 1 A kdevelop f ablaka Editor és böngész Projektszerkezet

Részletesebben

RPC Remote Procedure Call Távoli eljárás hívás

RPC Remote Procedure Call Távoli eljárás hívás RPC Remote Procedure Call Távoli eljárás hívás Hagyományos eljáráshívás: Count = read (fd, buf, nbytes) Paraméterek átadásának a típusai: - Érték szerinti átadás - Referencia szerinti átadás - Másoló/visszatöltő

Részletesebben

7. Előadás. Makrók alkalmazása. Salamon Júlia. Előadás I. éves mérnök hallgatók számára

7. Előadás. Makrók alkalmazása. Salamon Júlia. Előadás I. éves mérnök hallgatók számára 7. Előadás Makrók alkalmazása. Salamon Júlia Előadás I. éves mérnök hallgatók számára Feltételes ciklusok Ha a ciklusváltozó intervallumát, előre nem tudjuk mert például a program futása során megszerzett

Részletesebben

1.1 Szakdolgozat témája... 2. 1.2 A Program célja... 2. 1.4 A használt technológiák ismertetése... 2. 2 A program megtervezése...

1.1 Szakdolgozat témája... 2. 1.2 A Program célja... 2. 1.4 A használt technológiák ismertetése... 2. 2 A program megtervezése... 1 Bevezető... 2 1.1 Szakdolgozat témája... 2 1.2 A Program célja... 2 1.3 Fejlesztői környezet... 2 1.4 A használt technológiák ismertetése... 2 2 A program megtervezése... 4 2.1 Az ablak kinézetének megtervezése:...

Részletesebben

A TAOEKR pályázati rendszer tokennel történő használatához szükséges Mozilla Firefox beállítása, a Java frissítése és beállítása. Mozilla Firefox...

A TAOEKR pályázati rendszer tokennel történő használatához szükséges Mozilla Firefox beállítása, a Java frissítése és beállítása. Mozilla Firefox... A TAOEKR pályázati rendszer tokennel történő használatához szükséges Mozilla Firefox beállítása, a Java frissítése és beállítása Tartalom Mozilla Firefox... 1 A Firefox böngésző verziószámának ellenőrzése...

Részletesebben

Alkalmazások fejlesztése III. Qt 4 /C++ alapú grafikus alkalmazás Bevezetés I.

Alkalmazások fejlesztése III. Qt 4 /C++ alapú grafikus alkalmazás Bevezetés I. Tartalomjegyzék Alkalmazás készítés Qt osztályokkal...2 A qmake eszköz...2 Hello Qt (hello)...2 Objektumok közötti kommunikáció (quit)...3 Fordítás/futtatás...4 Grafikus felület létrehozása, vezérlők szinkronizálása

Részletesebben

Adatbázis-kezelés ODBC driverrel

Adatbázis-kezelés ODBC driverrel ADATBÁZIS-KEZELÉS ODBC DRIVERREL... 1 ODBC: OPEN DATABASE CONNECTIVITY (NYÍLT ADATBÁZIS KAPCSOLÁS)... 1 AZ ODBC FELÉPÍTÉSE... 2 ADATBÁZIS REGISZTRÁCIÓ... 2 PROJEKT LÉTREHOZÁSA... 3 A GENERÁLT PROJEKT FELÉPÍTÉSE...

Részletesebben

Sapientia Egyetem, Műszaki és Humántudományok Tanszék. mgyongyi@ms.sapientia.ro

Sapientia Egyetem, Műszaki és Humántudományok Tanszék. mgyongyi@ms.sapientia.ro Kriptográfia és Információbiztonság 5. előadás Sapientia Egyetem, Műszaki és Humántudományok Tanszék Marosvásárhely, Románia mgyongyi@ms.sapientia.ro 2015 Miről volt szó az elmúlt előadáson? AES (Advanced

Részletesebben

IV. A SystemC alapelemei

IV. A SystemC alapelemei IV. A SystemC alapelemei Ennek a bevezető előadásnak a célja, hogy röviden és mindenekelőtt érthetően bemutassa a SystemC alkalmazását elektronikai eszközök tervezésére. Részletesen ismertetésre kerül

Részletesebben

Elemi alkalmazások fejlesztése III.

Elemi alkalmazások fejlesztése III. Elemi alkalmazások fejlesztése III. Bevezetés Készítette: Szabóné Nacsa Rozália nacsa@inf.elte.hu people.inf.elte.hu/nacsa/qt4/eaf3/ Qt 4 2007 1 A Qt assistant nyitó ablaka www.trolltech.com 2 Alkalmazás

Részletesebben

Sapientia Egyetem, Műszaki és Humántudományok Tanszék.

Sapientia Egyetem, Műszaki és Humántudományok Tanszék. Kriptográfia és Információbiztonság 2 előadás Sapientia Egyetem, Műszaki és Humántudományok Tanszék Marosvásárhely, Románia mgyongyi@mssapientiaro 2016 Miről volt szó az elmúlt előadáson? Félévi áttekintő

Részletesebben

Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése

Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése 1 Megjegyzések: Lab 2 projektek Neopixel_simple egy WS2812 LED beállítása előre egy megadott színre. Neopixel_random véletlen színát

Részletesebben

Tanúsítványok használata StarOffice 8 alkalmazásból

Tanúsítványok használata StarOffice 8 alkalmazásból Tanúsítványok használata StarOffice 8 alkalmazásból Windows tanúsítványtárban és kriptográfia eszközökön található tanúsítványok esetén A termék támogatásának befejezése miatt a dokumentáció nem kerül

Részletesebben

Sapientia Egyetem, Matematika-Informatika Tanszék.

Sapientia Egyetem, Matematika-Informatika Tanszék. Kriptográfia és Információbiztonság 2. előadás Sapientia Egyetem, Matematika-Informatika Tanszék Marosvásárhely, Románia mgyongyi@ms.sapientia.ro 2018 Miről volt szó az elmúlt előadáson? Követelmények,

Részletesebben

STL. Algoritmus. Iterátor. Tároló. Elsődleges komponensek: Tárolók Algoritmusok Bejárók

STL. Algoritmus. Iterátor. Tároló. Elsődleges komponensek: Tárolók Algoritmusok Bejárók STL Elsődleges komponensek: Tárolók Algoritmusok Bejárók Másodlagos komponensek: Függvény objektumok Adapterek Allokátorok (helyfoglalók) Tulajdonságok Tárolók: Vektor (vector) Lista (list) Halmaz (set)

Részletesebben

USB HID Demo @watt. 1. Bevezetés. 2. A Hardver

USB HID Demo @watt. 1. Bevezetés. 2. A Hardver USB HID Demo @watt 1. Bevezetés Ebben a cikkben egy egyszerő kommunikációs kapcsolatot próbálok bemutatni, elsısorban gyakorlati oldalról egy egyszerő hardveren, valamint a PIC(C18) és a PC(VB6) oldali

Részletesebben

Bevezetés a programozásba Előadás: Fordítási egység

Bevezetés a programozásba Előadás: Fordítási egység Bevezetés a programozásba 2 5. Előadás: Fordítási egység ISMÉTLÉS Tagfüggvény kiemelése struct Particle { int x,y; unsigned char r,g,b; void rajzol(); }; void Particle::rajzol() { gout

Részletesebben

Szoftver technológia. Build systems. Cserép Máté ELTE Informatikai Kar 2019.

Szoftver technológia. Build systems. Cserép Máté ELTE Informatikai Kar 2019. Szoftver technológia Cserép Máté ELTE Informatikai Kar 2019. C++ programok fordítása g++ -c -o foo.o foo.cpp \ -O2 -std=c++11 -pedantic I./include/... további fordítási egységek... g++ -c -o main.o main.cpp

Részletesebben

Forráskód generálás formális modellek alapján

Forráskód generálás formális modellek alapján Forráskód generálás formális modellek alapján dr. Majzik István Horányi Gergő és Jeszenszky Balázs (TDK) BME Méréstechnika és Információs Rendszerek Tanszék 1 Modellek a formális ellenőrzéshez Hogyan használhatók

Részletesebben

7. gyakorlat Tervlapok készítése, a terv elektronikus publikálása

7. gyakorlat Tervlapok készítése, a terv elektronikus publikálása 7. gyakorlat Tervlapok készítése, a terv elektronikus publikálása Olvassuk be a korábban elmentett Nyaralo nevű rajzunkat. Ezen a gyakorlaton az elkészített modellből fogunk tervdokumentációt készíteni,

Részletesebben

Ximba Radio: Grafikus felület fejlesztése az XM Satellite Radio programhoz GTK+/Glade segítségével

Ximba Radio: Grafikus felület fejlesztése az XM Satellite Radio programhoz GTK+/Glade segítségével Ximba Radio: Grafikus felület fejlesztése az XM Satellite Radio programhoz GTK+/Glade segítségével A Glade-rõl azt mondják, hogy segítségével a grafikus programok prototípuskészítése egyszerû és gyors

Részletesebben

Tipp A Word makrók kimerítõ tárgyalását megtalálhatjuk az O Reilly gondozásában megjelent Writing Word Macros címû könyvben.

Tipp A Word makrók kimerítõ tárgyalását megtalálhatjuk az O Reilly gondozásában megjelent Writing Word Macros címû könyvben. 2. fogás Utazás a makrók birodalmába Gyorstalpaló tanfolyam, amelynek során meggyõzõdhetünk arról, milyen sokat segíthetnek a makrók a fárasztó és idõrabló feladatok automatizálásában. A Word 6-os és azutáni

Részletesebben

Adatbázis alapú rendszerek gyakorlat Adatbázis alapú alkalmazásfejlesztés Java, C# környezetben

Adatbázis alapú rendszerek gyakorlat Adatbázis alapú alkalmazásfejlesztés Java, C# környezetben Adatbázis alapú rendszerek gyakorlat Adatbázis alapú alkalmazásfejlesztés Java, C# környezetben Java GUI készítése, Oracle kapcsolódás JDBC-vel A jelen anyagban egy egyszerűsített megközelítéssel vizsgáljuk

Részletesebben

Információs Technológia

Információs Technológia Információs Technológia (Struktúra, mutatók, függvényhívás) Fodor Attila Pannon Egyetem Műszaki Informatika Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010 október 14/21. Struktúra

Részletesebben

Az Ön kézikönyve HP SCANJET 7490C SCANNER http://hu.yourpdfguides.com/dref/922720

Az Ön kézikönyve HP SCANJET 7490C SCANNER http://hu.yourpdfguides.com/dref/922720 Elolvashatja az ajánlásokat a felhasználói kézikönyv, a műszaki vezető, illetve a telepítési útmutató HP SCANJET 7490C SCANNER. Megtalálja a választ minden kérdésre az a felhasználói kézikönyv (információk,

Részletesebben

3D-s számítógépes geometria és alakzatrekonstrukció

3D-s számítógépes geometria és alakzatrekonstrukció 3D-s számítógépes geometria és alakzatrekonstrukció 3a. Tesztkörnyezet I http://cg.iit.bme.hu/portal/node/312 https://portal.vik.bme.hu/kepzes/targyak/viiima01 Dr. Várady Tamás, Salvi Péter BME, Villamosmérnöki

Részletesebben

Informatikai Navigátor Érdekességek programozóknak

Informatikai Navigátor Érdekességek programozóknak 2012. December Informatikai Navigátor Érdekességek programozóknak Gondolatok a szoftverek használatáról és fejlesztéséről 7. szám Informatikai Navigator Gondolatok a szoftverek használatáról és fejlesztéséről

Részletesebben

OPENCV TELEPÍTÉSE SZÁMÍTÓGÉPES LÁTÁS ÉS KÉPFELDOLGOZÁS. Tanács Attila Képfeldolgozás és Számítógépes Grafika Tanszék Szegedi Tudományegyetem

OPENCV TELEPÍTÉSE SZÁMÍTÓGÉPES LÁTÁS ÉS KÉPFELDOLGOZÁS. Tanács Attila Képfeldolgozás és Számítógépes Grafika Tanszék Szegedi Tudományegyetem OPENCV TELEPÍTÉSE SZÁMÍTÓGÉPES LÁTÁS ÉS KÉPFELDOLGOZÁS Tanács Attila Képfeldolgozás és Számítógépes Grafika Tanszék Szegedi Tudományegyetem OpenCV Nyílt forráskódú szoftver (BSD licensz) Számítógépes látás,

Részletesebben

Webshop készítése ASP.NET 3.5 ben I.

Webshop készítése ASP.NET 3.5 ben I. Webshop készítése ASP.NET 3.5 ben I. - Portál kialakíása - Mesteroldal létrehozása - Témák létrehozása Site létrehozása 1. File / New Web site 2. A Template k közül válasszuk az ASP.NEt et, nyelvnek (Language)

Részletesebben

0.1. Mi az a standard be- és kimenet?... 1. 0.2. A két mintafeladat leírása

0.1. Mi az a standard be- és kimenet?... 1. 0.2. A két mintafeladat leírása KöMaL Technikai tanácsok az I- és S-jelű pontversenyhez A standard be- és kimenet kezelése Tartalomjegyzék 0.1. Mi az a standard be- és kimenet?............................. 1 0.2. A két mintafeladat leírása.................................

Részletesebben

Számítógép kártevők. Számítógép vírusok (szűkebb értelemben) Nem rezidens vírusok. Informatika alapjai-13 Számítógép kártevők 1/6

Számítógép kártevők. Számítógép vírusok (szűkebb értelemben) Nem rezidens vírusok. Informatika alapjai-13 Számítógép kártevők 1/6 Informatika alapjai-13 Számítógép kártevők 1/6 Számítógép kártevők Számítógép vírusok (szűkebb értelemben) A vírus önreprodukáló program, amely saját másolatait egy másik végrehajtható file-ba vagy dokumentumba

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Az Ön kézikönyve LEXMARK X2670 http://hu.yourpdfguides.com/dref/2387163

Az Ön kézikönyve LEXMARK X2670 http://hu.yourpdfguides.com/dref/2387163 Elolvashatja az ajánlásokat a felhasználói kézikönyv, a műszaki vezető, illetve a telepítési útmutató LEXMARK X2670. Megtalálja a választ minden kérdésre az LEXMARK X2670 a felhasználói kézikönyv (információk,

Részletesebben

Programozás C- és Matlab nyelven C programozás kurzus BMEKOKAM603 Függvények. Dr. Bécsi Tamás 6. Előadás

Programozás C- és Matlab nyelven C programozás kurzus BMEKOKAM603 Függvények. Dr. Bécsi Tamás 6. Előadás Programozás C- és Matlab nyelven C programozás kurzus BMEKOKAM603 Függvények Dr. Bécsi Tamás 6. Előadás Bevezetés Egy idő után az egyetlen main(){ függvénnyel megírt programunk túl nagy méretű lesz. Vannak

Részletesebben

Dr. Pétery Kristóf: CorelDRAW 9 testre szabás

Dr. Pétery Kristóf: CorelDRAW 9 testre szabás 2 Minden jog fenntartva, beleértve bárminemű sokszorosítás, másolás és közlés jogát is. Kiadja a Mercator Stúdió Felelős kiadó a Mercator Stúdió vezetője Lektor: Gál Veronika Szerkesztő: Pétery István

Részletesebben

Származtatási mechanizmus a C++ nyelvben

Származtatási mechanizmus a C++ nyelvben Származtatási mechanizmus a C++ nyelvben Miskolci Egyetem Általános Informatikai Tanszék CPP2 / 1 Az öröklődés s fogalma 1. Egy osztály deklarálható valamely más osztály(ok) leszármazottjaként. Az deklaráció

Részletesebben

Videókártya - CUDA kompatibilitás: CUDA weboldal: Példaterületek:

Videókártya - CUDA kompatibilitás:   CUDA weboldal:   Példaterületek: Hasznos weboldalak Videókártya - CUDA kompatibilitás: https://developer.nvidia.com/cuda-gpus CUDA weboldal: https://developer.nvidia.com/cuda-zone Példaterületek: http://www.nvidia.com/object/imaging_comp

Részletesebben

INFORMATIKAI ALAPISMERETEK

INFORMATIKAI ALAPISMERETEK Informatikai alapismeretek emelt szint 1021 ÉRETTSÉGI VIZSGA 2011. május 13. INFORMATIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ NEMZETI ERŐFORRÁS MINISZTÉRIUM

Részletesebben

12. gyakorlat Enum; Tárolási osztályok Preprocesszor utasítások; Moduláris programozás

12. gyakorlat Enum; Tárolási osztályok Preprocesszor utasítások; Moduláris programozás 12. gyakorlat Enum; Tárolási osztályok Preprocesszor utasítások; Moduláris programozás Házi (f0174) Egy sor kiíratási formátuma: "nev: %s; pont: %d;". Olvasd be a kiírt számot úgy, ha tudod, hogy a kiírt

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

A PROGAMOZÁS ALAPJAI 1. Függvény mint függvény paramétere. Függvény mint függvény paramétere. Függvény mint függvény paramétere

A PROGAMOZÁS ALAPJAI 1. Függvény mint függvény paramétere. Függvény mint függvény paramétere. Függvény mint függvény paramétere 2012. április 10. A PROGAMOZÁS ALAPJAI 1 Vitéz András egyetemi adjunktus BME Híradástechnikai Tanszék vitez@hit.bme.hu Miről lesz ma szó? alaki szabályok használata - mintapélda használata - mintapélda

Részletesebben

Alap számológép alkalmazás

Alap számológép alkalmazás Első Androidos programom - Egyszerű műveleteket használó számológép elkészítése Android Developer Tools (ADT) segítségével Alap számológép alkalmazás Android programfejlesztés Tompos Szabolcs Tartalom

Részletesebben

Plena VAS configuration

Plena VAS configuration Plena VAS configuration Configuration Software hu Software manual Plena VAS configuration Tartalomjegyzék hu 3 Tartalomjegyzék 1 A kézikönyvről 5 1.1 Az útmutató célja 5 1.2 Digitális dokumentum 5 1.3

Részletesebben

Programozás II. 2. Dr. Iványi Péter

Programozás II. 2. Dr. Iványi Péter Programozás II. 2. Dr. Iványi Péter 1 C++ Bjarne Stroustrup, Bell Laboratórium Első implementáció, 1983 Kezdetben csak precompiler volt C++ konstrukciót C-re fordította A kiterjesztés alapján ismerte fel:.cpp.cc.c

Részletesebben

1. AZ AUDITPRO RENDSZER ÁTTEKINTÉSE... 2 2. ALAPVETÕ TELEPÍTÉS... 12 3. AZ AUDITPRO TELEPÍTÉSE ÉS FELÜGYELETE HÁLÓZATOKON... 14 5. EREDMÉNYEK...

1. AZ AUDITPRO RENDSZER ÁTTEKINTÉSE... 2 2. ALAPVETÕ TELEPÍTÉS... 12 3. AZ AUDITPRO TELEPÍTÉSE ÉS FELÜGYELETE HÁLÓZATOKON... 14 5. EREDMÉNYEK... RENDSZERGAZDÁK KÉZIKÖNYVE A telepítési adathordozó a termék cseh és angol nyelvû verzióját is tartalmazza. A www.auditpro.biz weboldalon található, öt számítógéphez használható próbaverzióból is telepítheti

Részletesebben

Programozás C nyelven FELÜLNÉZETBŐL elhullatott MORZSÁK. Sapientia EMTE

Programozás C nyelven FELÜLNÉZETBŐL elhullatott MORZSÁK. Sapientia EMTE Programozás C nyelven FELÜLNÉZETBŐL elhullatott MORZSÁK Sapientia EMTE 2015-16 1 Felülnézet 1 Feltételes fordítás #if, #else, #elif, #endif, #ifdef, #ifndef stb. Felülnézet 2 #include: hatására a preprocesszor

Részletesebben

Tartalomjegyzék. Általános Információ! 2. Felhasználói dokumentáció! 3. Feladat! 3. Környezet! 3. Használat! 3. Bemenet! 3. Példa!

Tartalomjegyzék. Általános Információ! 2. Felhasználói dokumentáció! 3. Feladat! 3. Környezet! 3. Használat! 3. Bemenet! 3. Példa! Tartalomjegyzék Általános Információ! 2 Felhasználói dokumentáció! 3 Feladat! 3 Környezet! 3 Használat! 3 Bemenet! 3 Példa! 3 A program eredménye! 3 Példa! 3 Hibalehetőségek! 3 Példa! 3 Fejlesztői dokumentáció!

Részletesebben

Energiagazdálkodás. Dokumentum cikkszáma: 410768-211. Ez az útmutató a számítógép energiafelhasználását ismerteti. 2006. április

Energiagazdálkodás. Dokumentum cikkszáma: 410768-211. Ez az útmutató a számítógép energiafelhasználását ismerteti. 2006. április Energiagazdálkodás Dokumentum cikkszáma: 410768-211 2006. április Ez az útmutató a számítógép energiafelhasználását ismerteti. Tartalomjegyzék 1 Energiaellátással kapcsolatos vezérl elemek és LED-ek elhelyezkedése

Részletesebben

Programozás 6. Dr. Iványi Péter

Programozás 6. Dr. Iványi Péter Programozás 6. Dr. Iványi Péter 1 Előfeldolgozás része Makrók A forrás kódban elvégzi a helyettesítéseket a fordító Csak egyszer végez helyettesítést Nem olyan makrók, mint a LISP vagy Scheme esetén Csak

Részletesebben

9.1.1. ARM mikrovezérlők programozása

9.1.1. ARM mikrovezérlők programozása 9.1.1. ARM mikrovezérlők programozása E fejezetben az ARM mikrovezérlők programozása lesz ismertetve néhány példaprogram és gyakorlati alkalmazás bemutatásával. Az általunk használt ARM mikrovezérlő gyártója

Részletesebben

A Code::Blocks fejlesztőkörnyezet

A Code::Blocks fejlesztőkörnyezet A Code::Blocks fejlesztőkörnyezet A Code::Blocks egy keretrendszer, amely sokféle platformon (Windows, Mac, Linux), elsősorban C/C++ programozási nyelvekhez biztosít kényelmes programfejlesztési környezetet.

Részletesebben

Dinamikus csatolású függvénykönyvtár készítése és használata Plugin-szerű betöltés Egyszeű C++ osztályok készítése

Dinamikus csatolású függvénykönyvtár készítése és használata Plugin-szerű betöltés Egyszeű C++ osztályok készítése FEJLETT PROGRAMOZÁSI NYELVEK, 2009 2. GYAKORLAT - Linux alatti C/C++ programozás Cél: Dinamikus csatolású függvénykönyvtár készítése és használata Plugin-szerű betöltés Egyszeű C++ osztályok készítése

Részletesebben

Realtek HD Audio Manager Felhasználói útmutató a Vista operációs rendszerhez

Realtek HD Audio Manager Felhasználói útmutató a Vista operációs rendszerhez Felhasználói útmutató a Vista operációs rendszerhez 2008. február 27. Copyrights Realtek Semiconductor, 2008 Tartalom Tartalom A. ELSŐ LÉPÉSEK...4 B. BEVEZETÉS...7 1. CSATLAKOZÓK ÉS ESZKÖZÖK...8 1.1. Haladó

Részletesebben

NE1A sorozatú munkabiztonsági hálózati vezérlő: NE1A-SCPU01(-V1)/-SCPU02

NE1A sorozatú munkabiztonsági hálózati vezérlő: NE1A-SCPU01(-V1)/-SCPU02 Cat. No. Z906-HU2-03 NE1A sorozatú munkabiztonsági hálózati vezérlő: NE1A-SCPU01(-V1)/-SCPU02 HASZNÁLATI ÚTMUTATÓ Rövid áttekintés 17 Műszaki adatok és elnevezések 31 Telepítés és bekötés 51 DeviceNet

Részletesebben

CONDOR. Felhasználói Leírás

CONDOR. Felhasználói Leírás CONDOR Felhasználói Leírás Tartalom 1 BEVEZETÉS 2 2 A PROGRAM FELÉPÍTÉSE 3 2.1 A főképernyő 3 2.2 Kamerakép üzemmód 3 2.2.1 Kamerakép 3 2.2.2 Kamera kiválasztás 3 2.2.3 A képernyő alsó területe 4 2.3 Napló

Részletesebben

A Remote Support Platform 3.1 újdonságai

A Remote Support Platform 3.1 újdonságai What's New Dokumentumverzió: 1.0 2014-05-09 Dokumentumverziók Az alábbi táblázat áttekintést nyújt a dokumentum legfontosabb változásairól. Verzió Dátum Leírás 1.0 2014-05-09 Első verzió 2 All rights reserved.

Részletesebben

Szövegek C++ -ban, a string osztály

Szövegek C++ -ban, a string osztály Szövegek C++ -ban, a string osztály A string osztály a Szabványos C++ könyvtár (Standard Template Library) része és bár az objektum-orientált programozásról, az osztályokról, csak később esik szó, a string

Részletesebben

Mobil Informatikai Rendszerek

Mobil Informatikai Rendszerek Mobil Informatikai Rendszerek Android NDK Native Development Kit Sicz-Mesziár János sicz-mesziar.janos@nik.uni-obuda.hu Mezei József mezei.jozsef@nik.uni-obuda.hu 2018. április 22. NDK Native Development

Részletesebben

PROGRAMOZÁSI NYELVEK - CPP. GYAKORLAT JEGYZET

PROGRAMOZÁSI NYELVEK - CPP. GYAKORLAT JEGYZET PROGRAMOZÁSI NYELVEK - CPP. GYAKORLAT JEGYZET Szerkesztette: Balogh Tamás 2013. április 12. Ha hibát találsz, kérlek jelezd a info@baloghtamas.hu e-mail címen! Ez a Mű a Creative Commons Nevezd meg! -

Részletesebben