VII. Tesztkörnyezet felépítése

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "VII. Tesztkörnyezet felépítése"

Átírás

1 VII. Tesztkörnyezet felépítése 1

2 VII.1. Szimuláció folyamatát vezérlő eszközök SystemC-ben sc_start sc_stop sc_time_stamp sc_simulation_time sc_clock sc_trace sc_cycle és az sc_initialize sc_time 2

3 sc_start Az sc_start metódus feladata a szimuláció elindítása majd a paraméterként megadott idő eltelte után a szimulációs folyamat megállítása. Tehát nem szükséges külön utasítás a szimuláció leállítására, az a paraméterként megadott idő eltelte után automatikusan leáll. Az alábbi függvény elindítja a szimulációt és utasítja a szimulációt vezérlő kernelt, hogy 100 mili-szekundumig futassa azt. A második függvény, pedig azt jelenti a szimulációs kernel számára, hogy a szimulációt korlátlan ideig futassa. 3

4 Például: sc_start(100,sc_ms); sc_start(-1); 4

5 sc_stop Az sc_stop metódus bármely folyamatban felhasználható, feladata az aktuálisan futó szimuláció leállítása. A metódus nem vesz át semmilyen paramétert sem az őt meghívó függvénytől. A használata, pedig az alábbiakban látható: Például: sc_stop(); 5

6 sc_time_stamp Ezzel a metódussal le lehet kérdezni egy adott időpillanatban, hogy hol tart a szimuláció folyamata az alapértelmezett időegységekben mérve. cout << Most éppen a << sc_time_stamp() << időpillanatban járunk ; Eredményül pedig azt írja ki erre a futó programra, hogy például Most éppen a 15ns időpillanatban járunk 6

7 sc_simulation_time Ez a metódus, az aktuális szimulációs időt szolgáltatja egész szám formájában, amelynek a típusa double, dupla pontosságú lebegőpontos szám. double aktualis_ido=sc_simulation_time(); 7

8 sc_clock Az sc_clock típus lehetővé teszi speciális órajel generátor objektumok létrehozását, amivel egy időzített négyszög jelalak hozható létre. Az órajel deklarálását a következő utasítással lehet végrehajtani. sc_clock jel1 ( jel1,20,sc_ns); A fenti deklarációs utasítás létrehoz egy 20 nano szekundum periódusú négyszögjelet, amelynek első fél periódusa (50%) felső, a második fél periódusa, pedig alsó jelállapotban van. Alapértelmezésben a négyszögjel felső és alsó állapotainak felosztása 50%-50% és az órajel felfutó éllel (egyes, 1, true) indul. Az órajel objektum neve jel1. 8

9 jel ns t sc_clock jel1 ( jel1,20,sc_ns); 9

10 A második példa egy valamivel összetettebb órajel alakot definiál. sc_clock jel2 ( jel2,10,sc_ns, 0.3,5,SC_NS,false); jel2 0 t ns 5 10

11 Az előző órajel generátor deklaráció létrehoz egy 10 nano szekundum periódusú négyszögjelet, amelynek periódusa olyan módon van felosztva, hogy az első 70%-a alsó, a periódus további 30%-a pedig felső jelállapotban van. Az első él 5ns késleltetéssel indul, azaz egy 5ns idejű felsőállapotbeli bevezető szakasza van az órajelnek és az első él egy lefutó él lesz. A jel kezdeti állapotát határozza meg az utolsó paraméterként megadott false kulcsszó, ami most azt jelenti, hogy nincsen felfutó él a t=0ns időpillanatban. Az órajel objektum neve jel2. 11

12 sc_trace Az sc_trace metódus feladata, hogy a szimuláció során előálló numerikus eredményeket egy meghatározott állomány formátumban a háttértárakon tárolni tudjuk további feldolgozás céljából. A SystemC három különféle formátumú állomány típust támogat a szimulációs eredmények mentésére. Ezek a következők: VCD (Value Change Dump) WIF (Waveform Interchange Format) ISDB (Integrated Signal Data Base) 12

13 Az egyes állomány formátumok kezelése nagyon hasonlít a C/C++-ban megismert állománykezelési technikára, azaz meg kell nyitnunk/létre kell hoznunk az adott állományt, majd abba az eredményeket el kell mentenünk, valamint a használat után az állományokat le kell zárnunk az erre a célra szolgáló metódusokkal. A megnyitás során a megnyitást végző függvény elsősorban a C program nyelvben megszokottakhoz hasonlóan egy file mutatóval tér vissza, amit aztán az állományunk azonosítására tudunk a továbbiakban, a kódban felhasználni. 13

14 Az egyes formátumok használatához az alábbi függvények meghívása szükséges. VCD sc_create_vcd_trace_file() WIF sc_create_wif_trace_file() ISDB sc_create_isdb_trace_file() Az egyes kiterjesztéseket (*.vcd, *.awif, *.isdb) automatikusan hozzáfűzi a megnyitást/létrehozást végző függvény a létrejövő állományok nevéhez. 14

15 sc_trace_file *f= sc_create_vcd_trace_file( eredmenyek ); A fenti deklaráció létrehoz egy VCD típusú állományt, aminek a neve eredmenyek.vcd és ezt az állományt az f nevű pointerrel tudjuk a továbbiakban azonosítani a további programlépésekben. 15

16 Ezután, már van arra lehetőség, hogy már létező jelek értékeit kimentsük az sc_trace() függvény segítségével ebbe az állományba. Erre az alábbiakban lehet látni egy példát. sc_trace(f, jel_neve_azonosítoja, jel_neve_azonosítoja ); A harmadik paraméter célja, hogy az állományba kiírt numerikus értékek könnyebben azonosíthatóak legyenek, mivel az, ebben a szöveges alakban fog az állományban megjelenni. 16

17 sc_cycle, sc_initialize Ez a két metódus a ciklus-szintű szimulációk végrehajtásának elősegítésére alkalmas. Ha például a kidolgozott modellnek 10 időegységenként szeretnék szimulálni a működését, akkor praktikus ciklus-szintű szimulációt végrehajtani. Ebben az esetben nem az sc_start() metódust kell használni, hanem az sc_initialize() és az sc_cycle() metódusokat. sc_initialize(); sc_cycle(20,sc_us); A fenti utasítás páros minden olyan folyamatot, amely készen áll a futtatásra végrehajt, majd a szimuláció idejét előre lépteti 20 mikro-szekundummal. 17

18 sc_time Az sc_time segítségével különböző idő objektumokat deklarálhatunk, amelyeket a továbbiakban a szimulációt vezérlő metódusoknál, mint például az sc_clock vagy az sc_start() fel lehet használni. sc_time t1 (77, SC_NS); sc_time t2 (33, SC_US); Az első esetben a t1-hez a 77 nano-szekundum a második esetben a t2-höz a 33 mikro-szekundum lett hozzárendelve. sc_start (77, SC_NS); sc_start (t1); 18

19 Az idő objektum további alkalmazása látható a következő példában sc_clock jel2 ( jel2,10,sc_ns, 0.3,5,SC_NS,false); sc_time periodus (10,SC_NS); sc_time indito_periodus (5,SC_NS); sc_clock jel3 ( jel3,periodus, 0.3,indito_periodus,false); A fentiekben deklarált jel2 és jel3 teljes mértékben megegyezik. 19

20 A SystemC-ben a következő időállandók kerültek deklarálásra. SC_FS, SC_PS, SC_NS, SC_US, SC_MS, SC_SEC, amelyek rendre a femto, piko, nano, mikro, mili másodperceket jelenti a legutolsó, pedig természetesen az egy másodpercet azonosítja. Az alapértelmezett időegység az 1ps azaz 1 piko-szekundum. Ez az sc_set_time_resolution() függvénnyel módosítható, mint például: sc_set_time_resolution (10, SC_NS); beállítja az alapértelmezett időegységet 10 nanoszekundumra. Az alapértelmezett időegység kizárólag 10 egészkitevőjű hatványa lehet. 20

21 VII.2. Jelalakok generálása SystemC-ben Komplex nem periodikus jelalak létrehozása Egyszerű szabályos jelalakok az sc_clock segítségével könnyedén és gyorsan létrehozhatóak, ellenben komplexebb jelformák előállítására létezik SystemC környezetben egy másik lehetőség is. Ezt a SC_THREAD típusú folyamatok és a wait utasítás segítségével lehet megoldani. Tekintsük például az alábbi általános nem periodikus jelalakot. 21

22 jel ns t A fenti jelalak megvalósítására külön modult kell írni, amelyben egy folyamat kerül deklarálásra és a folyamat SC_THREAD típusú lesz. 22

23 #include systemc.h SC_MODULE (jel) { sc_out<bool> x; void jel_gen(); SC_CTOR(jel) { SC_THREAD (jel_gen); } }; 23

24 void jel::jel_gen() { x=0; wait(3, SC_NS); x=1; wait(4, SC_NS); x=0; wait(10, SC_NS); x=1; wait(5, SC_NS); x=0; } 24

25 Felmerül a kérdés, hogy a jel_gen() folyamat mikor kezdi el végrehajtást. Erre a kérdésre a válasz az, hogy a szimuláció megkezdése előtt, egy úgynevezett inicializáló fázisban minden egyes folyamat legyen az akár SC_METHOD vagy SC_THREAD típusú, egyszer végrehajtásra kerül. 25

26 A fenti jelgeneráló modulban a modul egyetlen kimeneti portal x rendelkezik, aminek értékét a jel_gen() nevű, SC_THREAD típusú folyamat állítja be, majd módosítja az egyes wait utasításoknak megfelelő időzítéssel. Először az x értékét beállítja a folyamat nullára, majd felfüggeszti a folyamat futási idejét 3 ns ideig, majd az x értékét 1-re állítja be, aztán a folyamat futási idejét újra felfüggeszti 4 ns ideig, majd ezt folytatja a további utasításoknak megfelelően. 26

27 Komplex periodikus jelalak létrehozása Ha a komplex jelalakot egy megadott időtartományon (perióduson) túl, ismételni szeretnénk, akkor egy while utasítás segítségével egy végtelen ciklust hozunk létre a jelet előállító folyamatban p_jel_gen() és ezt a szimulációs kernel folyamatosan végre fogja hajtani, mindaddig, amíg a szimuláció futni fog. A következő példában 45 ns-os időablakokban ismétlődik az előző példában deklarált komplex jelforma. 27

28 #include systemc.h SC_MODULE (p_jel) { sc_out<bool> x; void p_jel_gen(); SC_CTOR(p_jel) { SC_THREAD (p_jel_gen); } }; 28

29 void p_jel::p_jel_gen() { while(1) { x=0; wait(3, SC_NS); x=1; wait(4, SC_NS); x=0; wait(10, SC_NS); x=1; wait(5, SC_NS); x=0; wait(23, SC_NS); } } 29

30 Az előző példához képest a folyamat definíciós részében van egy alapvető különbség, még pedig az, hogy a p_jel_gen() nevű folyamat egy végtelen ciklust tartalmaz, while(1){} ami a hagyományos programfejlesztésben eléggé ritkán alkalmazott megoldás. Természetesen a ciklus a valódi értelemben csak látszólag lesz végtelen, mivel a while(1){} ciklus csak a későbbiek folyamán rögzített szimulációs időtartomány által meghatározott ideig fog működni, a szimuláció befejeződésével maga a ciklus is leáll. 30

31 Szinkronizált jelalak generálása A harmadik a gyakorlatban igen fontos jelgenerálási probléma, amikor egy alap órajelhez kell egy másik órajelet igazítani (szinkronizálni). Erre mutat példát az alábbi ábrán látható két különböző négyszögjel. A két jel közül a felső az alap órajel, amelyhez az alatta látható hullám alakot kell generálni, szinkronban az alap órajellel. 31

32 órajel ns t szinkron_jel 32

33 Az alap órajel 10 ns szekundumos periódus idejű négyszög jel, amelynek első fele nulla (low), a második fele egyes (high) állapotban van. Ehhez az alapjelhez kell egy másik órajelet generálnunk, amelyre a következő feltételeknek kell fenn állnia. 33

34 A szinkronizált órajelnek az alap órajel felfutó éléhez kell igazodnia, a felfutó él időpontját tekintjük egyfajta bázis időnek, amihez a szinkron órajel igazodni fog. Az alap órajel felfutó éle után 2 ns-al kell megjelennie a szinkronizált órajelben a felfutó élnek A szinkronizált jelet 2 ns ideig tartjuk egyes (high) jelállapotban, aztán visszahúzzuk nullába. 34

35 #include systemc.h SC_MODULE (main_signal) { sc_out<bool> x; void main_signal_gen(); SC_CTOR(main_signal) { SC_THREAD (main_signal_gen); } }; 35

36 void main_signal::main_signal_gen() { while(1) { x=0; wait(5, SC_NS); x=1; wait(5, SC_NS); } } 36

37 Első lépésben az alap órajelet generáló modult kell létrehozni, amit a main_signal nevű modul és azon belül egy SC_THREAD típusú main_signal_gen() nevű folyamat hajt majd végre. Ennek a modulnak egyetlen logikai típusú bool kimeneti portja x van, amin keresztül a generált órajelet lehet a többi modul számára elérhetővé tenni. A main_signal_gen() nevű folyamat egy végtelen ciklust tartalmaz, ami lehetővé teszi, hogy a periodikus alap órajelet a szimuláció futásának végéig folyamatosan generálhassuk. 37

38 SC_MODULE (jel) { sc_out<bool> x; sc_in<bool> ora; void jel_gen(); SC_CTOR(jel) { SC_THREAD (jel_gen); sensitive_pos << ora; } }; 38

39 void jel::jel_gen() { x=0; while(1) { wait(); wait(2, SC_NS); x=1; wait(2, SC_NS); x=0; } } 39

40 A második lépésben egy jel nevű modult és azon belül egy SC_THREAD típusú jel_gen() nevű folyamatot deklarálunk. A modulnak egy bemenete van, amin keresztül az alap órajel érkezik be a modulba, és egy kimenetet deklaráltunk, ami a szinkronizált órajelet bocsátja ki a modulból. Fontos megjegyezni, hogy a jel_gen() nevű folyamatnak van érzékenységi listája. Még pedig a sensitive_pos << ora; utasítás sor adja meg, hogy a jel_gen() nevű folyamat milyen változásokra reagáljon. 40

41 A sensitive_pos ebben az esetben azt jelenti, hogy kizárólag a felfutó élre legyen érzékeny, erre induljon csak el a jel_gen() nevű folyamatban a while ciklus belsejében az első wait() után található többi utasítás végrehajtása. Ugyanis a wait() utasítás paraméter nélküli alakjában egy olyan várakozási állapotot hoz létre jel_gen() nevű folyamatban megadott végtelen ciklusban, ami addig várakozik amíg, az érzékenységi listában megadott esemény be nem következik. Akkor befejezi a várakozást és a következő utasításra lép, amelytől kezdődően már csak korlátozott időtartamú várakozások vannak az adott ciklus végéig, majd a következő cikluslépésben újra belefut a paraméter nélküli wait() utasításba. 41

42 int sc_main(int argc, char *argv[]) { sc_signal<bool> jel_fv, clock; sc_trace_file *f; int ch; main_signal ora_jel( alap_jel ); ora_jel.x(clock); jel s( szink_jel ); s.ora(clock); s.x(jel_fv); 42

43 f= sc_create_vcd_trace_file( jel_eredmenyek ); sc_trace(f, clock, alap_orajel ); sc_trace(f, jel_fv, szinkron_jel ); sc_start(100,sc_ns); sc_close_vcd_trace_file(f); cout << A szimulációs folyamat végének ideje: << sc_time_stamp() << endl; cout << Nyomjon le a q/q és aztán Enter ; cin >> ch; return 0; } 43

44 A szinkronjel.cpp program fordítása és futtatása után a következő.vcd kiterjesztésű szöveges állomány jön létre a háttértáron. A jel_eredmenyek.vcd nevű állomány tartalma és az állomány szerkezete részletesen ismertetésre kerül, mivel az állomány struktúrája első látásra nem triviális és könnyen olvasható. 44

45 $date Jun 14, :24:03 az állomány létrehozási dátuma $end $version SystemC May :58:00 a SystemC verzió száma $end $timescale 1 ps az alapértelmezett időskála $end 45

46 $scope module SystemC $end $var wire 1 aaa alap_orajel $end $var wire 1 aab szinkron_jel $end az állományba elmentett változók listája $upscope $end $enddefinitions $end $comment All initial values are dumped below at time 0 sec = 0 timescale units. $end 46

47 $dumpvars 0aaa 0aab az elmentett jelek kezdeti értékei a szimuláció indulásakor $end mindkét jel (aaa, aab) értéke a szimuláció indulásakor 0. 47

48 #5000 1aaa az alapjel értéke a 5 ns=5000 ps-ban 0-ról 1-re vált #7000 1aab a szinkronjel értéke a 7 ns -ban 0-ról 1-re vált #9000 0aab # aaa # aaa aaa aab t 9 17ns az alapjel értéke a 15 ns -ban 0-ról 1-re vált 48

IV. A SystemC alapelemei

IV. A SystemC alapelemei IV. A SystemC alapelemei Ennek a bevezető előadásnak a célja, hogy röviden és mindenekelőtt érthetően bemutassa a SystemC alkalmazását elektronikai eszközök tervezésére. Részletesen ismertetésre kerül

Részletesebben

III. Alapfogalmak és tervezési módszertan SystemC-ben

III. Alapfogalmak és tervezési módszertan SystemC-ben III. Alapfogalmak és tervezési módszertan SystemC-ben A SystemC egy lehetséges válasz és egyben egyfajta tökéletesített, tovább fejlesztett tervezési módszertan az elektronikai tervezés területén felmerülő

Részletesebben

Bevezetés A SystemC célja A SystemC alapjai SystemC típusok Modulok Rendszerek Csatornák. Budapesti Műszaki és Gazdaságtudományi Egyetem

Bevezetés A SystemC célja A SystemC alapjai SystemC típusok Modulok Rendszerek Csatornák. Budapesti Műszaki és Gazdaságtudományi Egyetem Budapesti Műszaki és Gazdaságtudományi Egyetem SystemC áttekintés Dr. Czirkos Zoltán, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Dr. Czirkos Zoltán, Horváth Péter SystemC áttekintés

Részletesebben

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt BME Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt Visual Studio, SystemC, SDL Tóth Gergely Endre 2013.03.18. 1 Bevezetés Ebben a dokumentumban leírom, hogy hogyan lehet

Részletesebben

Rendszerszintű tervezés: SystemC I.

Rendszerszintű tervezés: SystemC I. Rendszerszintű tervezés: SystemC I. Czirkos Zoltán BME EET 2016. február 24. Miről lesz szó? Magas szintű tervezés programozási nyelvek segítségével HDL leírás (Verilog / VHDL) is emlékeztet egy programra

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

C++ programozási nyelv Konstruktorok-destruktorok

C++ programozási nyelv Konstruktorok-destruktorok C++ programozási nyelv Konstruktorok-destruktorok Nyugat-Magyarországi Egyetem Faipari Mérnöki Kar Informatikai Intézet Soós Sándor 2004. szeptember A C++ programozási nyelv Soós Sándor 1/20 Tartalomjegyzék

Részletesebben

Programozás 6. Dr. Iványi Péter

Programozás 6. Dr. Iványi Péter Programozás 6. Dr. Iványi Péter 1 Előfeldolgozás része Makrók A forrás kódban elvégzi a helyettesítéseket a fordító Csak egyszer végez helyettesítést Nem olyan makrók, mint a LISP vagy Scheme esetén Csak

Részletesebben

1. Alapok. Programozás II

1. Alapok. Programozás II 1. Alapok Programozás II Elérhetőség Név: Smidla József Elérhetőség: smidla dcs.uni-pannon.hu Szoba: I916 2 Irodalom Bjarne Stroustrup: A C++ programozási nyelv 3 Irodalom Erich Gamma, Richard Helm, Ralph

Részletesebben

1. Jelgenerálás, megjelenítés, jelfeldolgozás alapfunkciói

1. Jelgenerálás, megjelenítés, jelfeldolgozás alapfunkciói 1. Jelgenerálás, megjelenítés, jelfeldolgozás alapfunkciói FELADAT Készítsen egy olyan tömböt, amelynek az elemeit egy START gomb megnyomásakor feltölt a program 1 periódusnyi szinuszosan változó értékekkel.

Részletesebben

Bevezetés a programozásba I 10. gyakorlat. C++: alprogramok deklarációja és paraméterátadása

Bevezetés a programozásba I 10. gyakorlat. C++: alprogramok deklarációja és paraméterátadása Pázmány Péter Katolikus Egyetem Információs Technológiai Kar Bevezetés a programozásba I 10. gyakorlat C++: alprogramok deklarációja és paraméterátadása 2011.11.22. Giachetta Roberto groberto@inf.elte.hu

Részletesebben

Bevezetés a programozásba I.

Bevezetés a programozásba I. Bevezetés a programozásba I. 6. gyakorlat C++ alapok, szövegkezelés Surányi Márton PPKE-ITK 2010.10.12. Forrásfájlok: *.cpp fájlok Fordítás: a folyamat, amikor a forrásfájlból futtatható állományt állítunk

Részletesebben

HORVÁTH ZSÓFIA 1. Beadandó feladat (HOZSAAI.ELTE) ápr 7. 8-as csoport

HORVÁTH ZSÓFIA 1. Beadandó feladat (HOZSAAI.ELTE) ápr 7. 8-as csoport 10-es Keressünk egy egész számokat tartalmazó négyzetes mátrixban olyan oszlopot, ahol a főátló alatti elemek mind nullák! Megolda si terv: Specifika cio : A = (mat: Z n m,ind: N, l: L) Ef =(mat = mat`)

Részletesebben

Programozás C nyelven (3. ELŐADÁS) Sapientia EMTE

Programozás C nyelven (3. ELŐADÁS) Sapientia EMTE Programozás C nyelven (3. ELŐADÁS) Sapientia EMTE 2015-16 Classic Empire - A turn Based Wargame Classic Empire is a real time, multiplayer, Internet-based game, featuring military, diplomatic, and economic

Részletesebben

A C programozási nyelv V. Struktúra Dinamikus memóriakezelés

A C programozási nyelv V. Struktúra Dinamikus memóriakezelés A C programozási nyelv V. Struktúra Dinamikus memóriakezelés Miskolci Egyetem Általános Informatikai Tanszék A C programozási nyelv V. (Struktúra, memóriakezelés) CBEV5 / 1 A struktúra deklarációja 1.

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

3. Osztályok II. Programozás II

3. Osztályok II. Programozás II 3. Osztályok II. Programozás II Bevezető feladat Írj egy Nevsor osztályt, amely legfeljebb adott mennyiségű nevet képes eltárolni. A maximálisan tárolható nevek számát a konstruktorban adjuk meg. Az osztályt

Részletesebben

Java II. I A Java programozási nyelv alapelemei

Java II. I A Java programozási nyelv alapelemei Java II. I A Java programozási nyelv alapelemei Miskolci Egyetem Általános Informatikai Tanszék Utolsó módosítás: 2008. 02. 19. Java II.: Alapelemek JAVA2 / 1 A Java formalizmusa A C, illetve az annak

Részletesebben

7. fejezet: Mutatók és tömbök

7. fejezet: Mutatók és tömbök 7. fejezet: Mutatók és tömbök Minden komolyabb programozási nyelvben vannak tömbök, amelyek gondos kezekben komoly fegyvert jelenthetnek. Először is tanuljunk meg tömböt deklarálni! //Tömbök használata

Részletesebben

1. mérés - LabView 1

1. mérés - LabView 1 1. mérés - LabView 1 Mérést végezte: Bartha András Mérőtárs: Dobránszky Márk Mérés dátuma: 2015. február 18. Mérés helye: PPKE Információs Technológiai és Bionikai Kar A mérés célja: Ismerkedés a Labview

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

A C programozási nyelv VI. Parancssori argumentumok File kezelés

A C programozási nyelv VI. Parancssori argumentumok File kezelés A C programozási nyelv VI. Parancssori argumentumok File kezelés Miskolci Egyetem Általános Informatikai Tanszék A C programozási nyelv VI. (Parancssori argumentum, file kezelés) CBEV6 / 1 Parancssori

Részletesebben

Programozás II. 2. Dr. Iványi Péter

Programozás II. 2. Dr. Iványi Péter Programozás II. 2. Dr. Iványi Péter 1 C++ Bjarne Stroustrup, Bell Laboratórium Első implementáció, 1983 Kezdetben csak precompiler volt C++ konstrukciót C-re fordította A kiterjesztés alapján ismerte fel:.cpp.cc.c

Részletesebben

Programozás C++ -ban 2007/7

Programozás C++ -ban 2007/7 Programozás C++ -ban 2007/7 1. Másoló konstruktor Az egyik legnehezebben érthető fogalom C++ -ban a másoló konstruktor, vagy angolul "copy-constructor". Ez a konstruktor fontos szerepet játszik az argumentum

Részletesebben

Programozás II. 2. gyakorlat Áttérés C-ről C++-ra

Programozás II. 2. gyakorlat Áttérés C-ről C++-ra Programozás II. 2. gyakorlat Áttérés C-ről C++-ra Tartalom Új kommentelési lehetőség Változók deklarációjának helye Alapértelmezett függvényparaméterek Névterek I/O műveletek egyszerűsödése Logikai adattípus,

Részletesebben

és az instanceof operátor

és az instanceof operátor Java VIII. Az interfacei és az instanceof operátor Krizsán Zoltán Miskolci Egyetem Általános Informatikai Tanszék Utolsó módosítás: 2005. 10. 24. Java VIII.: Interface JAVA8 / 1 Az interfészről általában

Részletesebben

OOP #14 (referencia-elv)

OOP #14 (referencia-elv) OOP #14 (referencia-elv) v1.0 2003.03.19. 21:22:00 Eszterházy Károly Főiskola Információtechnológia tsz. Hernyák Zoltán adj. e-mail: aroan@ektf.hu web: http://aries.ektf.hu/~aroan OOP OOP_14-1 - E jegyzet

Részletesebben

LabVIEW példák és bemutatók KÉSZÍTETTE: DR. FÜVESI VIKTOR

LabVIEW példák és bemutatók KÉSZÍTETTE: DR. FÜVESI VIKTOR LabVIEW példák és bemutatók KÉSZÍTETTE: DR. FÜVESI VIKTOR LabVIEW-ról National Instruments (NI) által fejlesztett Grafikus programfejlesztő környezet, méréstechnikai, vezérlési, jelfeldolgozási feladatok

Részletesebben

Java VIII. Az interfacei. és az instanceof operátor. Az interfészről általában. Interfészek JAVA-ban. Krizsán Zoltán

Java VIII. Az interfacei. és az instanceof operátor. Az interfészről általában. Interfészek JAVA-ban. Krizsán Zoltán Java VIII. Az interfacei és az instanceof operátor Krizsán Zoltán Miskolci Egyetem Általános Informatikai Tanszék Utolsó módosítás: 2005. 10. 24. Java VIII.: Interface JAVA8 / 1 Az interfészről általában

Részletesebben

Adattípusok, vezérlési szerkezetek. Informatika Szabó Adrienn szeptember 14.

Adattípusok, vezérlési szerkezetek. Informatika Szabó Adrienn szeptember 14. Informatika 1 2011 Második előadás, vezérlési szerkezetek Szabó Adrienn 2011. szeptember 14. Tartalom Algoritmusok, vezérlési szerkezetek If - else: elágazás While ciklus For ciklus Egyszerű típusok Összetett

Részletesebben

Bevezetés a programozásba Előadás: Objektumszintű és osztályszintű elemek, hibakezelés

Bevezetés a programozásba Előadás: Objektumszintű és osztályszintű elemek, hibakezelés Bevezetés a programozásba 2 7. Előadás: Objektumszű és osztályszű elemek, hibakezelés ISMÉTLÉS Osztály class Particle { public: Particle( X, X, Y); virtual void mozog( ); ); virtual void rajzol( ) const;

Részletesebben

Pénzügyi algoritmusok

Pénzügyi algoritmusok Pénzügyi algoritmusok A C++ programozás alapjai Tömbök (3. rész) Konstansok Kivételkezelés Tömbök 3. Többdimenziós tömbök Többdimenziós tömbök int a; Többdimenziós tömbök int a[5]; Többdimenziós tömbök

Részletesebben

Programozás I. gyakorlat

Programozás I. gyakorlat Programozás I. gyakorlat 1. gyakorlat Alapok Eszközök Szövegszerkesztő: Szintaktikai kiemelés Egyszerre több fájl szerkesztése pl.: gedit, mcedit, joe, vi, Notepad++ stb. Fordító: Szöveges file-ban tárolt

Részletesebben

Megoldás. Feladat 1. Statikus teszt Specifikáció felülvizsgálat

Megoldás. Feladat 1. Statikus teszt Specifikáció felülvizsgálat Megoldás Feladat 1. Statikus teszt Specifikáció felülvizsgálat A feladatban szereplő specifikáció eredeti, angol nyelvű változata egy létező eszköz leírása. Nem állítjuk, hogy az eredeti dokumentum jól

Részletesebben

Miről lesz ma szó? A PROGAMOZÁS ALAPJAI 1. Dinamikus változók. Dinamikus változók. Dinamikus változók. Dinamikus változók. 7.

Miről lesz ma szó? A PROGAMOZÁS ALAPJAI 1. Dinamikus változók. Dinamikus változók. Dinamikus változók. Dinamikus változók. 7. Miről lesz ma szó? A PROGAMOZÁS ALAPJAI 1 Vitéz András egyetemi adjunktus BME Híradástechnikai Tanszék vitez@hit.bme.hu Dinamikus memóriakezelés Dinamikus tömbök Dinamikus stringek Program kapcsolata a

Részletesebben

SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel

SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel Czirkos Zoltán 2015. augusztus 26. Kivonat Az ismertetett SystemC modul egy mikroprocesszoros rendszerhez illeszthető megjelenítő

Részletesebben

Statikus adattagok. Statikus adattag inicializálása. Speciális adattagok és tagfüggvények. Általános Informatikai Tanszék

Statikus adattagok. Statikus adattag inicializálása. Speciális adattagok és tagfüggvények. Általános Informatikai Tanszék Speciális adattagok és tagfüek Miskolci Egyetem Általános Informatikai Tanszék CPP7 / 1 Statikus adattagok Bármely adattag lehet static tárolási osztályú A statikus adattag az osztály valamennyi objektuma

Részletesebben

Pénzügyi algoritmusok

Pénzügyi algoritmusok Pénzügyi algoritmusok A C++ programozás alapjai Az Integrált Fejlesztői Környezet C++ alapok Az Integrált Fejlesztői Környezet Visual Studio 2013 Community Edition Kitekintés: fordítás Preprocesszor Fordító

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

A SystemC programok adattípusai

A SystemC programok adattípusai A SystemC programok adattípusai A negyedik fejezetben bemutatott félösszeadó áramköri példában semmilyen SystemC típus sem szerepelt. Viszont összetettebb áramköri feladatok esetén elengedhetetlen a különböző

Részletesebben

Programozás C++ -ban

Programozás C++ -ban Programozás C++ -ban 6. Konstansok A C nyelvben konstansokat makróval is deklarálhatunk. Ebben az esetben mindenhol ahol a makró előfordul a fordító a definiált értéket behelyettesíti a makró helyére.

Részletesebben

Az MSP430 mikrovezérlők digitális I/O programozása

Az MSP430 mikrovezérlők digitális I/O programozása 10.2.1. Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó

Részletesebben

Occam 1. Készítette: Szabó Éva

Occam 1. Készítette: Szabó Éva Occam 1. Készítette: Szabó Éva Párhuzamos programozás Egyes folyamatok (processzek) párhuzamosan futnak. Több processzor -> tényleges párhuzamosság Egy processzor -> Időosztásos szimuláció Folyamatok közötti

Részletesebben

AWK programozás, minták, vezérlési szerkezetek

AWK programozás, minták, vezérlési szerkezetek 10 AWK programozás, minták, vezérlési szerkezetek AWK adatvezérelt szkriptnyelv text processing, adat kiterjesztés, tagolt adatok automatizált soronkénti feldolgozása a forrásállományt soronként beolvassa

Részletesebben

Programozás C++ -ban

Programozás C++ -ban Programozás C++ -ban 4. Bevezetés az osztályokba 4.1 Az adatokhoz való hozzáférés ellenőrzése Egy C programban a struktúrák minden része mindig elérhető. Ugyanakkor ez nem a legkedvezőbb helyzet. Több

Részletesebben

1. Template (sablon) 1.1. Függvénysablon Függvénysablon példányosítás Osztálysablon

1. Template (sablon) 1.1. Függvénysablon Függvénysablon példányosítás Osztálysablon 1. Template (sablon) 1.1. Függvénysablon Maximum függvény megvalósítása függvénynév túlterheléssel. i n l i n e f l o a t Max ( f l o a t a, f l o a t b ) { return a>b? a : b ; i n l i n e double Max (

Részletesebben

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás Rendszer órajel Órajel osztás XTAL Divide Control (XDIV) Register 2 129 oszthat Órajel források CKSEL fuse bit Külső kristály/kerámia rezonátor Külső

Részletesebben

Nagy HF u tmutato 2011/2012 II. fe le v

Nagy HF u tmutato 2011/2012 II. fe le v A programozás alapjai 1. BMEVIHIA106 Nagy HF u tmutato 2011/2012 II. fe le v Analízis (Nyelv független) 1. A Házi feladat téma szöveges leírása. 2. A feladat résztvevőinek azonosítása 3. A résztvevők tulajdonságai

Részletesebben

Programozási alapismeretek :: beadandó feladat. Felhasználói dokumentáció. Molnár Tamás MOTIABT.ELTE motiabt@inf.elte.

Programozási alapismeretek :: beadandó feladat. Felhasználói dokumentáció. Molnár Tamás MOTIABT.ELTE motiabt@inf.elte. Programozási alapismeretek :: beadandó feladat Készítő adatai Név: Molnár Tamás EHA: MOTIABT.ELTE E-mail cím: motiabt@inf.elte.hu Gyakorlatvezető: Horváth László Feladat sorszáma: 23. Felhasználói dokumentáció

Részletesebben

Dr. Schuster György október 14.

Dr. Schuster György október 14. Real-time operációs rendszerek RTOS 2011. október 14. A fordítás vázlata prog.c Előfeldolgozó Átmenti állomány Fordító prog.obj más.obj-tek könyvtárak indító kód Linker futtatható kód Ismétlés Előfeldolgozó

Részletesebben

KANDÓ KÁLMÁN VILLAMOSMÉRNÖKI KAR HÍRADÁSTECHNIKA INTÉZET

KANDÓ KÁLMÁN VILLAMOSMÉRNÖKI KAR HÍRADÁSTECHNIKA INTÉZET KANDÓ KÁLMÁN VILLAMOSMÉRNÖKI KAR HÍRADÁSTECHNIKA INTÉZET Infokommunikációs Hálózatok laboratóriumi mérési útmutató HW3 mérés Splitter átviteli karakterisztikájának fölvétele különböző mérési módszerekkel

Részletesebben

AWK programozás, minták, vezérlési szerkezetek

AWK programozás, minták, vezérlési szerkezetek 10 AWK programozás, minták, vezérlési szerkezetek AWK futtatási módok AWK parancs, közvetlen programkódmegadás: awk 'PROGRAMKÓD' FILE példa: ls -l awk '{print $1, $5}' a programkód helyére minden indentálás

Részletesebben

Járműfedélzeti rendszerek II. 3. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek II. 3. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek II. 3. előadás Dr. Bécsi Tamás 5.3. Mutatók,tömbök A mutató vagy pointer olyan változó, amely egy másik változó címét tartalmazza. A C nyelvű programokban gyakran használják a

Részletesebben

Java II. I A Java programozási nyelv alapelemei

Java II. I A Java programozási nyelv alapelemei Java2 / 1 Java II. I A Java programozási nyelv alapelemei Miskolci Egyetem Általános Informatikai Tanszék Utolsó módosítás: 2009. 02. 09. Java II.: Alapelemek JAVA2 / 1 A Java formalizmusa A C, illetve

Részletesebben

Programozási nyelvek II. JAVA

Programozási nyelvek II. JAVA Programozási nyelvek II. JAVA 8. gyakorlat 2017. november 6-10. Általános tudnivalók A feladatmegoldás során fontos betartani az elnevezésekre és típusokra vonatkozó megszorításokat, illetve a szövegek

Részletesebben

1.1. A forrásprogramok felépítése Nevek és kulcsszavak Alapvető típusok. C programozás 3

1.1. A forrásprogramok felépítése Nevek és kulcsszavak Alapvető típusok. C programozás 3 Darvay Zsolt Típusok és nevek a forráskódban Állandók és változók Hatókörök és az előfeldolgozó Bevitel és kivitel Kifejezések Utasítások Mutatók Függvények Struktúrák és típusok Állománykezelés C programozás

Részletesebben

A MATLAB alapjai. Kezdő lépések. Változók. Aktuális mappa Parancs ablak. Előzmények. Részei. Atomerőművek üzemtana

A MATLAB alapjai. Kezdő lépések. Változók. Aktuális mappa Parancs ablak. Előzmények. Részei. Atomerőművek üzemtana A MATLAB alapjai Kezdő lépések - Matlab Promt: >> - Help: >> help sqrt >> doc sqrt - Kilépés: >> quit >> exit >> Futó script leállítása: >> ctrl+c - Változók listásása >> who >> whos - Változók törlése

Részletesebben

Tömbök kezelése. Példa: Vonalkód ellenőrzőjegyének kiszámítása

Tömbök kezelése. Példa: Vonalkód ellenőrzőjegyének kiszámítása Tömbök kezelése Példa: Vonalkód ellenőrzőjegyének kiszámítása A számokkal jellemzett adatok, pl. személyi szám, adószám, taj-szám, vonalkód, bankszámlaszám esetében az elírásból származó hibát ún. ellenőrző

Részletesebben

Programozás C++ -ban 2007/4

Programozás C++ -ban 2007/4 Programozás C++ -ban 2007/4 1. Az adatokhoz való hozzáférés ellenőrzése Egy C programban a struktúrák minden része mindig elérhető. Ugyanakkor ez nem a legkedvezőbb helyzet. Több szempontból is hasznos

Részletesebben

Technikai információk fejlesztőknek

Technikai információk fejlesztőknek Technikai információk fejlesztőknek Különbségek a Java-s nyomtatványkitöltő program és az Abev2006 között 1. A mezőkód kijelzés bekapcsolása a Szerviz/Beállítások ablakban érhető el. 2. Az xml állományok

Részletesebben

6. fejezet: Ciklusok

6. fejezet: Ciklusok 6. fejezet: Ciklusok Mint a nyelvekben általában, itt is léteznek ciklusok. Az alapvető három ciklus-típus: elöltesztelő, hátultesztelő és számláló. Lássuk ezeket sorban! Elöltesztelő = while. A while

Részletesebben

Importálás. más típusú (pl:.imp,.xml,.xkr,.xcz) állomány beimportálása a nyomtatványkitöltő programba

Importálás. más típusú (pl:.imp,.xml,.xkr,.xcz) állomány beimportálása a nyomtatványkitöltő programba Importálás Külső programok által generált imp és.xml állományokat be lehet tölteni a program import funkcióival. Az ABEV2006 az xml állományok importálását nem tudta. Ez újdonság a nyomtatványkitöltő programban.

Részletesebben

C++ programozási nyelv

C++ programozási nyelv C++ programozási nyelv Gyakorlat - 8. hét Nyugat-Magyarországi Egyetem Faipari Mérnöki Kar Informatikai Intézet Soós Sándor 2004. november A C++ programozási nyelv Soós Sándor 1/12 Tartalomjegyzék Miért

Részletesebben

Programozás alapjai gyakorlat. 2. gyakorlat C alapok

Programozás alapjai gyakorlat. 2. gyakorlat C alapok Programozás alapjai gyakorlat 2. gyakorlat C alapok 2016-2017 Bordé Sándor 2 Forráskód, fordító, futtatható állomány Először megírjuk a programunk kódját (forráskód) Egyszerű szövegszerkesztőben vagy fejlesztőkörnyezettel

Részletesebben

Függvény pointer. Feladat: Egy tömbben soroljunk fel függvényeket, és hívjuk meg valahányszor.

Függvény pointer. Feladat: Egy tömbben soroljunk fel függvényeket, és hívjuk meg valahányszor. Függvény pointer Több feladat közül futási időben döntöm el, hogy melyiket hajtom végre. A függvényre mutató pointer a függvény kódjának a címére mutat, azon keresztül meghívhatom a függvényt. A pointernek

Részletesebben

Hardver és szoftver követelmények

Hardver és szoftver követelmények Java-s Nyomtatványkitöltő Program Súgó Telepítési útmutató Hardver és szoftver követelmények A java-s nyomtatványkitöltő program az alábbi hardverigényt támasztja a számítógéppel szemben: 400 MHz órajelű

Részletesebben

STL gyakorlat C++ Izsó Tamás május 9. Izsó Tamás STL gyakorlat/ 1

STL gyakorlat C++ Izsó Tamás május 9. Izsó Tamás STL gyakorlat/ 1 STL gyakorlat C++ Izsó Tamás 2016. május 9. Izsó Tamás STL gyakorlat/ 1 Komponensek kapcsolata Deklarálja az alábbi osztálydiagramon szereplő osztályok közül az A, AA és AB osztályokat! A konstruktorokat

Részletesebben

Programozás C és C++ -ban

Programozás C és C++ -ban Programozás C és C++ -ban 2. További különbségek a C és C++ között 2.1 Igaz és hamis A C++ programozási nyelv a C-hez hasonlóan definiál néhány alap adattípust: char int float double Ugyanakkor egy új

Részletesebben

AWK programozás Bevezetés

AWK programozás Bevezetés 09 AWK programozás Bevezetés AWK adatvezérelt szkriptnyelv text processing, adat kiterjesztés, tagolt adatok automatizált soronkénti feldolgozása a forrásállományt soronként beolvassa és feldolgozhatóvá

Részletesebben

Programozási alapismeretek 4.

Programozási alapismeretek 4. Programozási alapismeretek 4. Obejktum-Orientált Programozás Kis Balázs Bevezetés I. Az OO programozási szemlélet, egy merőben más szemlélet, az összes előző szemlélettel (strukturális, moduláris, stb.)

Részletesebben

Java programozási nyelv 4. rész Osztályok II.

Java programozási nyelv 4. rész Osztályok II. Java programozási nyelv 4. rész Osztályok II. Nyugat-Magyarországi Egyetem Faipari Mérnöki Kar Informatikai Intézet Soós Sándor 2005. szeptember A Java programozási nyelv Soós Sándor 1/17 Tartalomjegyzék

Részletesebben

Operációs rendszerek. 11. gyakorlat. AWK - szintaxis, vezérlési szerkezetek UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED

Operációs rendszerek. 11. gyakorlat. AWK - szintaxis, vezérlési szerkezetek UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED AWK - szintaxis, vezérlési szerkezetek Operációs rendszerek 11. gyakorlat Szegedi Tudományegyetem Természettudományi és Informatikai Kar Csuvik

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Szkriptnyelvek. 1. UNIX shell

Szkriptnyelvek. 1. UNIX shell Szkriptnyelvek 1. UNIX shell Szkriptek futtatása Parancsértelmez ő shell script neve paraméterek shell script neve paraméterek Ebben az esetben a szkript tartalmazza a parancsértelmezőt: #!/bin/bash Szkriptek

Részletesebben

Bevezetés Kiíratás Beolvasás Formázás Fájlkezelés Gyakorló feladatok C++ I/O. Bevezetés. Izsó Tamás február 20. Izsó Tamás C++ I/O / 1

Bevezetés Kiíratás Beolvasás Formázás Fájlkezelés Gyakorló feladatok C++ I/O. Bevezetés. Izsó Tamás február 20. Izsó Tamás C++ I/O / 1 C++ I/O Bevezetés Izsó Tamás 2014. február 20. Izsó Tamás C++ I/O / 1 Section 1 Bevezetés Izsó Tamás C++ I/O / 2 Irodalom Izsó Tamás C++ I/O / 3 Paraméter illesztés függvénynév túlterhelés esetén 1 Pontos

Részletesebben

S z á m í t ó g é p e s a l a p i s m e r e t e k

S z á m í t ó g é p e s a l a p i s m e r e t e k S z á m í t ó g é p e s a l a p i s m e r e t e k 7. előadás Ami eddig volt Számítógépek architektúrája Alapvető alkotóelemek Hardver elemek Szoftver Gépi kódtól az operációs rendszerig Unix alapok Ami

Részletesebben

Járműfedélzeti rendszerek II. 4. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek II. 4. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek II. 4. előadás Dr. Bécsi Tamás 6. Struktúrák A struktúra egy vagy több, esetleg különböző típusú változó együttese, amelyet a kényelmes kezelhetőség céljából önálló névvel látunk

Részletesebben

Láncolt lista. az itt adott nevet csak a struct deklaráción belül használjuk

Láncolt lista. az itt adott nevet csak a struct deklaráción belül használjuk Láncolt lista int szam char szoveg[10] következő elemre mutató pointer int szam char szoveg[10] következő elemre mutató pointer elem elem elem int szam char szoveg[10] következő elemre mutató pointer A

Részletesebben

BASH script programozás II. Vezérlési szerkezetek

BASH script programozás II. Vezérlési szerkezetek 06 BASH script programozás II. Vezérlési szerkezetek Emlékeztető Jelölésbeli különbség van parancs végrehajtása és a parancs kimenetére való hivatkozás között PARANCS $(PARANCS) Jelölésbeli különbség van

Részletesebben

1. Jelölje meg az összes igaz állítást a következők közül!

1. Jelölje meg az összes igaz állítást a következők közül! 1. Jelölje meg az összes igaz állítást a következők közül! a) A while ciklusban a feltétel teljesülése esetén végrehajtódik a ciklusmag. b) A do while ciklusban a ciklusmag után egy kilépési feltétel van.

Részletesebben

Szoftvertechnológia alapjai Java előadások

Szoftvertechnológia alapjai Java előadások Szoftvertechnológia alapjai Java előadások Förhécz András, doktorandusz e-mail: fandrew@mit.bme.hu tárgy honlap: http://home.mit.bme.hu/~fandrew/szofttech_hu.html A mai előadás tartalma: Miért pont Java?

Részletesebben

Objektumorientált programozás C# nyelven

Objektumorientált programozás C# nyelven Objektumorientált programozás C# nyelven 2. rész Öröklés és többalakúság Nemvirtuális metódusok, elrejtés Virtuális metódusok, elrejtés Típuskényszerítés, az is és as operátorok Absztrakt osztályok, absztrakt

Részletesebben

Operációs rendszerek. 4. gyakorlat: Szignálok küldése, kezelése

Operációs rendszerek. 4. gyakorlat: Szignálok küldése, kezelése Operációs rendszerek 4. gyakorlat: Szignálok küldése, kezelése Ajánlott irodalom UNIX programozáshoz: Kernighan & Pike: A Unix operációs rendszer Stewens: Advanced Programming in the UNIX Environment (APUE)

Részletesebben

ISA szimulátor objektum-orientált modell (C++)

ISA szimulátor objektum-orientált modell (C++) Budapesti Műszaki és Gazdaságtudományi Egyetem ISA szimulátor objektum-orientált modell (C++) Horváth Péter Elektronikus Eszközök Tanszéke 2015. február 12. Horváth Péter ISA szimulátor objektum-orientált

Részletesebben

CAN alapú járműves adatokat megjelenítő szoftver fejlesztése

CAN alapú járműves adatokat megjelenítő szoftver fejlesztése CAN alapú járműves adatokat megjelenítő szoftver fejlesztése Beszámoló Dokumentum szám: D01-018-08-05 Dokumentum dátum: 2014. január 30. Szerző(k): Jánky Szabolcs (szabolcs.janky@inventure.hu) http://

Részletesebben

OOP. #6 (VMT és DMT) v :33:00. Eszterházy Károly Főiskola Információtechnológia tsz. Hernyák Zoltán adj.

OOP. #6 (VMT és DMT) v :33:00. Eszterházy Károly Főiskola Információtechnológia tsz. Hernyák Zoltán adj. OOP #6 (VMT és DMT) v1.0 2003.03.07. 19:33:00 Eszterházy Károly Főiskola Információtechnológia tsz. Hernyák Zoltán adj. e-mail: aroan@ektf.hu web: http://aries.ektf.hu/~aroan OOP OOP_06-1 - E jegyzet másolata

Részletesebben

C++ programozási nyelv Struktúrák a C++ nyelvben Gyakorlat

C++ programozási nyelv Struktúrák a C++ nyelvben Gyakorlat C++ programozási nyelv Struktúrák a C++ nyelvben Gyakorlat Nyugat-Magyarországi Egyetem Faipari Mérnöki Kar Informatikai Intézet Soós Sándor 2004. szeptember A C++ programozási nyelv Soós Sándor 1/12 Input-output

Részletesebben

Laborsegédlet 3. Labor

Laborsegédlet 3. Labor 1/6. oldal Logisztikai rendszerek irányítás és automatizálás technikája I. CX-Programmer: 3. Labor A CX Programmer az OMRON PLC-k programozó szoftvere. Új program megnyitásának lépései: FILE NEW Device

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

ÁRAMKÖRÖK SZIMULÁCIÓJA

ÁRAMKÖRÖK SZIMULÁCIÓJA ÁRAMKÖRÖK SZIMULÁCIÓJA Az áramkörök szimulációja révén betekintést nyerünk azok működésébe. Meg tudjuk határozni az áramkörök válaszát különböző gerjesztésekre, különböző üzemmódokra. Végezhetők analóg

Részletesebben

Concurrency in Swing

Concurrency in Swing Concurrency in Swing A szálkezelés a swing alkalmazásokban is fontos. Cél egy olyan felhasználói felület készítése, amely soha nem fagy, mindig válaszol a felhasználói interakciókra, bármit is csináljon

Részletesebben

128. AA Megoldó Alfréd AA 128.

128. AA Megoldó Alfréd AA 128. Programozás alapjai 2. PótZH 2010.05.20. gyakorlat: Hiány:0 ZH: MEGOLD SEHOL/5. Hftest: 0 ZHp: Minden beadandó megoldását a feladatlapra, a feladat után írja! A megoldások során feltételezheti, hogy minden

Részletesebben

Programozás I. 5. Előadás: Függvények

Programozás I. 5. Előadás: Függvények Programozás I 5. Előadás: Függvények Függvény Egy alprogram Egy C program általában több kisméretű, könnyen értelmezhető függvényből áll Egy függvény megtalálható minden C programban: ez a main függvény

Részletesebben

Tartalomjegyzék 2. RENDSZER FELÉPÍTÉSE... 3

Tartalomjegyzék 2. RENDSZER FELÉPÍTÉSE... 3 Tartalomjegyzék 1. BEVEZETŐ... 2 2. RENDSZER FELÉPÍTÉSE... 3 2.1. FELÜLET... 3 2.2. FELHASZNÁLÓI FUNKCIÓK... 4 2.2.1. Modulok... 4 2.2.2. Előzmények... 4 2.2.3. Lekérdezés működése, beállítások... 5 2.2.4.

Részletesebben

500. AA Megoldó Alfréd AA 500.

500. AA Megoldó Alfréd AA 500. Programozás alapjai 2. NZH 2010.05.13. gyakorlat: / Hiány:0 ZH:0 MEGOLD IB.027/51. Hftest: 0 Minden beadandó megoldását a feladatlapra, a feladat után írja! A megoldások során feltételezheti, hogy minden

Részletesebben

Szoftvertechnolo gia gyakorlat

Szoftvertechnolo gia gyakorlat Szoftvertechnolo gia gyakorlat Dr. Johanyák Zsolt Csaba http://johanyak.hu 1. Dependency Injection (függőség befecskendezés) tervezési minta A tervezési minta alapgondolata az, hogy egy konkrét feladatot

Részletesebben

Bevezetés a programozásba I.

Bevezetés a programozásba I. Bevezetés a programozásba I. 5. gyakorlat Surányi Márton PPKE-ITK 2010.10.05. C++ A C++ egy magas szint programozási nyelv. A legels változatot Bjarne Stroutstrup dolgozta ki 1973 és 1985 között, a C nyelvb

Részletesebben

Elektronika, 5. gyakorlat: algoritmikus C szintézis

Elektronika, 5. gyakorlat: algoritmikus C szintézis Elektronika, 5. gyakorlat: algoritmikus C szintézis Bevezetés A digitális elektronikai rendszerek bonyolultsága és a gyors technológiai fejlődés folyamatos kihívás elé állítja a tervezőket, mert a tervezési

Részletesebben

Osztályok. 4. gyakorlat

Osztályok. 4. gyakorlat Osztályok 4. gyakorlat Az osztály fogalma Az objektumok formai leírása, melyek azonos tulajdonsággal és operációkkal rendelkeznek. Osztályból objektum készítését példányosításnak nevezzük. Minden objektum

Részletesebben