12. hét: Kombinációs hálózatok megvalósítása LSI/MSI áramkörökkel (PAL, PLA, PROM, CPLD), VLSI (FPGA) áramkörökkel és memóriával.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "12. hét: Kombinációs hálózatok megvalósítása LSI/MSI áramkörökkel (PAL, PLA, PROM, CPLD), VLSI (FPGA) áramkörökkel és memóriával."

Átírás

1 Pannon Egyetem Villamosmérnöki és Információs Tanszék Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök MSc) 12. hét: Kombinációs hálózatok megvalósítása LSI/MSI áramkörökkel (PAL, PLA, PROM, CPLD), VLSI (FPGA) áramkörökkel és memóriával. Előadó: Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu

2 Kapcsolódó jegyzet, segédanyag: Oktatás Tantárgyak Digitális Áramkörök (Villamosmérnök BSc / Mechatronikai mérnök BSc/MSc). Fóliák, óravázlatok (.ppt) Feltöltésük folyamatosan 2

3 Kombinációs hálózatok / szekvenciális hálózatok (LSI/MSI): Korábban: Memóriák: RAM, ROM nagy memóriatömbök alkalmazása K.H.-ban Programozható logikai áramkörök alkalmazása K.H., illetve S.H. felépítésére: PAL (Programmable AND Logic) PLA (Programmable Logic Array) PROM (Programmable OR Read Only memory) GAL (Generic Array Logic) PLD / CPLD (Complex Programmable Gate Array) FPGA (Field Programmabla Gate Array) 3

4 Programozható logikai eszközök (PLD-k) 4

5 Állapotgép FSM tervezés tulajdonságai Két kombinációs logikai hálózatból és egy regiszterből áll Tervezés során az állapot-átmeneteket vesszük figyelembe, DE Hibavalószínűség nagy, Szimulációs eszközök (CAD Tools) hiánya, Hibák lehetségesek a prototípus fejlesztése során is, Könnyen konfigurálható / flexibilis eszközök szükségesek mindezek használunk programozható alkatrészeket Inputs Combinational Logic Status Register Decode Logic Outputs 5

6 Field Programmable Logic Sequencer (FPLS) Logikai sorrendvezérlő Egy vezérlő egység Next-State logikai blokkjának megvalósítása a tervező, gyártó feladata. Általában változó logikát használnak a függvények megvalósításánál. A felhasználó által programozható logikai sorrendvezérlő (Field Programmable Logic Sequencer) programozható alkatrészekből építhető fel, amelyek a következőkben részletesen ismertetésre kerülnek. 6

7 Field Programmable Logic Sequencer (FPLS) Logikai sorrendvezérlő Serial In Clock Működése: Inputs 16 AND Array OR Array REG 8 Outputs - Normál (D-FF), - Debug (Shift Reg.) REG 6 Serial Out A logikai sorrendvezérlőnek 16 külső bemeneti vonala van, 1 RESET és 1 kimenetengedélyező vonala, ill. 8 kimeneti vonala. A regiszterek egy-egy állapotot tárolnak, amelyek az órajel hatására a kimenetre íródnak, vagy a 6 belső, visszacsatoló vonalon keresztül visszacsatolódnak. A Next-State ill. a kimeneti szintek meghatározásánál programozható AND/OR tömböket használnak. 7

8 Tervezési módszerek Digitális logikai áramkörök tervezési módszerei Részben egyedi Egyedi Programozható logikai eszközök Kapu áramkörök (Gate Arrays) Szabványos cellák (Standard Cell) Teljesen egyedi (Full Custom) Programozható kapu-áramkörök FPGA Makrocellás PLA, PAL, GAL, CPLD, * PLD-k helyettesíthetnek akár kombinációs hálózatot (hasonlóan egy memória elemhez). 8

9 PLD Programozható logikai eszközök A Programozható logikai áramköröket (PLD: Programmable Logic Devices) általánosan a kombinációs logikai hálózatok és sorrendi hálózatok tervezésére használhatjuk. Napjainkban ezek VLSI (Very-Large Scaling Integrated IC ) típusú alkatrészek: Több tíz-millió logikai kaput, vagy tranzisztort jelenthet Azonban míg a hagyományos kombinációs logikai hálózatok dedikált összeköttetésekkel, illetve kötött funkcióval (kimeneti függvény) rendelkeznek, addig a programozható logikai eszközökben pontosan ezek változtathatók, az alábbi lehetséges módokon: A felhasználó által egyszer programozható / konfigurálható logikai eszközök (OTP: One Time Programmable), amelynél a gyártás során nem definiált funkció egyszer megváltoztatható (ilyenek pl. a korai PAL, PLA eszközök), Többször, akár tetszőleges módon programozható logikai eszközök = újrakonfigurálható (ilyenek pl. a korábbi GAL, vagy a mai modern CPLD, FPGA eszközök) 9

10 Programozható logikai eszközök (PLD-k) két fő típusa: 1.) Makrocellás PLD-k: (Programmable Logic Devices): PLA PAL GAL PROM EPLD, CPLD 2.) FPGA (Field Programmable Gate Array): Programozható Gate Array áramkörök XILINX (Spartan, Virtex, Kintex, Artix) ~ 50%! Altera (Stratix, Arria, Cyclone), ~30% Actel (főleg űrkutatásban alkalmazott) Lattice sorozatok QuickLogic További kisebb gyártók termékei 10

11 Programmable Logic Array (PLA) Mindkét része (AND, OR) programozható Bármely kombinációja az AND / OR-nak előállítható Mintermek OR kapcsolata (DNF) Programozható kapcsolók a horizontális/ vertikális vonalak metszésében Q n Kimeneteken D tárolók! (visszacsat. a bemenetekre) A B C D Programozható ÉS tömb Programozható VAGY tömb Q0 Q1 Q2 Q3 11

12 PLA 1970-ben, a TI (Texas Instruments) által kifejlesztett eszköz mindkét részhálózata (ÉS, illetve VAGY tömb) programozható összeköttetéseket tartalmazott, amelyek segítségével tetszőleges mintermek tetszőleges VAGY kapcsolata előállítható (DNF alakot), ezáltal bármilyen kombinációs logikai hálózat realizálható volt (természetesen adott bemenet, ill. kimenet szám mellett). A programozható ÉS / VAGY tömbökben úgynevezett programozható kapcsolók vannak elhelyezve a horizontális/ vertikális vonalak metszéspontjában. Amennyiben a Qn kimenet(ek)re tárolókat kötünk (pl. egyszerű D tárolót), majd pedig visszacsatoljuk a programozható logikai hálózat bemenete(i)re akár egy sorrendi hálózati viselkedést is meghatározhatunk. 12

13 Programozásuk (Fuse) biztosítékok segítségével Az összeköttetés mátrix metszéspontjaiban akár kis biztosítékok (fuse) helyezkednek el. Gyárilag pl. logikai 1 -est definiál, tehát vezetőképes. Ha valamilyen spec. programozó eszközzel, a küszöbnél nagyobb feszültséget kapcsolunk rá, átégethető, tehát szigetelővé (nem-vezető) válik, és logikai 0 -át fog reprezentálni. A biztosíték átégetése, csak egyszer lehetséges, utána már csak a programozott állapotot fogja tárolni (OTP One time programmable IC). 13

14 Példa: PLA tervezése Realizálja a következő függvényeket: X = C + A B + A B Y = C D E + A C D W Z = C D E + B C D E + B D = A C D + B D + C D E + C D E Tehát 5 bemenete (A,B,C,D,E) és 4 kimenete (X,Y,W,Z) van. Rajzoljuk fel a kapcsolást is! 14

15 Programmable AND Logic (PAL) A B C D Egy programozható rész - AND / míg az OR fix Véges kombinációja áll elő az AND / OR kapcsolatoknak Metszéspontokban kevesebb kapcsoló szükséges Gyorsabb, mint a PLA Q n kimeneteken D tárolók (visszacsatolódhatnak a bemenetekre) Programozható ÉS tömb Rögzített/Fix VAGY tömb Q0 Q1 Q2 Q3 15

16 PAL Elsőként, 1978-ban az MMI (Monolithic Memories Inc.) jelent meg ilyen programozható eszközökkel, majd pedig későbbi jogutódja a Lattice Semiconductor, illetve az AMD a 80 -as évek végén. A PAL hálózatban a programozható részt az ÉS tömb jelenti, míg az VAGY tömb fix/rögzített. Így a tetszőleges mintermeknek csak egy véges kombinációja (VAGY) állítható elő: a lehetséges kimeneti függvények variálhatóságból veszítünk, cserébe viszont a VAGY részek dedikált útvonalainak jelterjedési sebessége nagyobb, míg az eszköz mérete kisebb és ezáltal olcsóbb is lesz. Ezáltal a metszéspontokban kevesebb kapcsoló szükséges ( gyorsabb, mint a PLA). Hasonlóan a PLA-khoz, amennyiben a Qn kimenet(ek)re tárolókat kötünk (pl. egyszerű D tárolót), majd pedig visszacsatoljuk a programozható PAL logikai hálózat bemenete(i)re akár sorrendi hálózati viselkedést is könnyen valósíthatunk. 16

17 Programmable Read Only Memory (PROM) Egy programozható rész - OR / míg az AND fix (valamilyen elrendezés szerint) Véges kombinációja áll elő az AND / OR kapcsolatoknak Metszéspontokban kevesebb kapcsoló szükséges Gyorsabb, mint a PLA Q n kimeneteken D tárolók! (visszacsatolódhatnak a bemenetekre) x: programozható : fix/rögzített 17

18 GAL (Generic Array Logic): Általános tömb logika 1985-ben a Lattice Semiconductor fejlesztette ki elsőként, amely a PAL-nak egy továbbfejlesztett változatát képviseli. Ugyanolyan belső struktúrával rendelkezik, mint egy PAL áramkör, azonban többször programozható: tehát törölhető és újraprogramozható eszköz. EEPROM technológiát (lebegő-gate) alkalmaz. Később a National Semiconductor, és AMD is megjelent saját GAL sorozataival a piacon. 18

19 CPLD CPLD (Comlex Programmable Logic Devices): Komplex-programozható Logikai eszközök Valójában átmenetet képeznek a kis/közepes integráltsági fokú makrocellás PLD-k GAL/PAL áramkörei, illetve a nagy integráltsági fokú FPGA kapu-áramkörök között. A GAL/PAL áramköröktől architekturálisan annyiban különbözik, hogy ki lett bővítve: nem egy-, hanem több logikai cellamátrixot tartalmaz, amelyek konfigurálható blokkok reguláris struktúrájában vannak elrendezve. A mai modern FPGA áramköröktől viszont az különbözteti meg felépítésben, hogy nem tartalmaz dedikált erőforrásokat (pl. szorzók, memória blokkok). a legnagyobb gyártók, amelyek jelenleg is aktív szereplői a CPLD-k piacának a következők: Xilinx, Altera, Lattice Semiconductor, Atmel stb. 19

20 Complex Programmable Logic Device (CPLD) 1 Logikai Blokkon belül: ~ PAL / PLA (K.H.) Regiszterek (D-FF) I/O Blokkok Programozható összeköttetések (PI: Programmable Interconnection) Teljes (Full-crossbar), vagy Részleges összeköttetés hálózat I/O Logikai blokk Logikai blokk Logikai blokk Programozható összeköttetés hálózat Logikai blokk Logikai blokk Logikai blokk I/O 20

21 CPLD (folyt) A CPLD-kben található Logikai Blokk-ok (=makrocellák): egyrészt logikai kapuk tömbjeit tartalmazzák (hasonlóan a PAL/GAL áramkörök felépítéséhez DNF alak), másrészt regisztereket (D-tárolókból) tartalmaznak a logikai tömbök által előállított kimenetek átmeneti tárolásához, valamint multiplexereket, mellyel a programozható összeköttetés hálózatra, vagy I/O blokkok celláihoz lehet továbbítani a belső Logikai Blokkok által előállított kimeneti értékeket. Ezáltal nemcsak logikai kombinációs hálózatokat, hanem akár sorrendi hálózatokat is egyszerűen megvalósíthatunk CPLD-k segítségével A CPLD-kben található Programozható összeköttetés hálózat teljes összeköttetést (mindenki-mindekivel), vagy részleges összeköttetést (valamilyen struktúra szerint, pl. bemenetet kimenettel, főként régi CPLD típusok esetén) biztosít az egyes blokkok között. Kikapcsoláskor a CPLD konfigurációs memóriája megtartja értékét (non-volatile típus), ezért nem kell egy külső pl. ROM memóriát használni az inicializációs minták tárolásához, bekapcsoláskor ezek automatikusan betöltésre kerülnek. A CPLD-ket közkedvelten alkalmazzák különböző interfészek jeleinek összekapcsolásához (glue-logic), amennyiben a jeleken átalakításra is szükség van, továbbá áraik az FPGA-k árainál jóval kedvezőbbek 21

22 FPGA Field Programmable Gate Array) = Felhasználó által tetszőlegesen/többször programozható kapuáramkörök architekturálisan tükrözik mind a PAL, ill. CPLD felépítését, komplexitásban pedig a CPLD-ket is felülmúlják. Nagy, illetve nagyon-nagy integráltsági fokkal rendelkezik: ~ ~ !! ekvivalens logikai kaput is tartalmazhat gyártótól, és sorozattól függően. Ekvivalens tranzisztorszám a létező legnagyobb Xilinx Virtex T FPGA esetén már meghaladja a ~6.5 milliárdot (2012)! 22

23 FPGA: általános erőforrások LB/CLB: Konfigurálható Logikai Blokkok, amelyekben LUT-ok (Look-up-table) segítségével realizálhatók például tetszőleges, több bemenetű (ált. 4 vagy 6), egy-kimenetű logikai függvények. Ezek a kimeneti értékek szükség esetén egyegy D flip-flopban tárolhatók el; továbbá multiplexereket, egyszerű logikai kapukat, és összeköttetéseket is tartalmaznak. IOB: I/O Blokkok, amelyek a belső programozható logika és a külvilág között teremtenek kapcsolatot. Programozható I/O blokkok kb. 30 ipari szabványt támogatnak (pl. LVDS, LVCMOS, LVTTL, SSTL stb.). PI: az FPGA belső komponensei között a programozható összeköttetés hálózat teremt kapcsolatot (lokális, globális és regionális útvonalak segítségével, melyeket konfigurálható kapcsolók állítanak be) DCM: Digitális órajel menedzselő áramkör, amely képes a külső bejövő órajelből tetszőleges fázisú és frekvenciájú belső órajel(ek) előállítására DCM IOB IOB IOB IOB IOB IOB IOB IOB DCM IOB IOB IOB IOB IOB IOB IOB IOB LB LB LB LB LB LB LB LB PI Programozható összeköttetés hálózat LB LB LB LB LB LB LB LB IOB IOB IOB IOB IOB IOB IOB IOB DCM IOB IOB IOB IOB IOB IOB IOB IOB DCM 23

24 FPGA dedikált erőforrások Dedikált erőforrások a következők (amelyek az FPGA típusoktól és komplexitásuktól függően nagy mértékben változhat): BRAM: egy/két portos Blokk-RAM memóriák, melyek nagy mennyiségű (~ 100Kbyte akár ~ 10Mbyte) adat/utasítás tárolását teszik lehetővé MULT / vagy DSP Blokkok: beágyazott szorzó áramköröket jelentenek, amelyek segítségével hagyományos szorzási műveletet, vagy a DSP blokk esetén akár bonyolultabb DSP MAC (szorzás-akkumulálás), valamint aritmetikai (kivonás) és logikai műveleteket is végrehajthatunk nagy sebességgel Beágyazott/Beágyazható processzor(ok): Tetszés szerint konfigurálható / beágyazható ún. szoft-processzor mag(ok) Példa: Xilinx PicoBlaze, Xilinx MicroBlaze, Altera Nios II stb. Fixen beágyazott, ún. hard-processzor mag(ok) Példa: IBM PowerPC 405/450 (Xilinx Virtex 2 Pro, Virtex-4 FXT, Virtex-5 FXT), ARM Cortex A9 (Xilinx Zynq, illetve Altera Cyclone V, Stratix V, Arria V) stb. 24

25 Xilinx FPGA családok Nagy teljesítmény Virtex (1998) 50K-1M gates, 0.22µm Virtex-E/EM (1999) 50K-4M gates, 0.18µm Virtex-II (1999) 40K-8M gates, 0.15µm Virtex-II Pro/X (2002) 50K-10M gates, 0.13µm Virtex-4 (2004) [LX, FX, SX] 50K-10M gates, 90nm Virtex-5 (2006) [LX, LXT, SXT] 65nm Virtex-5 FXT, TXT (2008) 65nm Virtex-6 LXT, SXT (2009) 40nm Alacsony költség Spartan-II (2000) 15K-200K gates, 0.22µm Spartan-IIE (2001) 50K-600K gates, 0.18µm Spartan-3 (2003) 50K-5M gates, 90nm Spartan-3E (2005) 100K-1.6M gates, 90nm Spartan-3AN (2006) 50K-1.4M gates, 90nm Spartan-3A - DSP (2006) 1.8M-3.4M gates, 90nm Spartan-6 LX, LXT (2009) 45nm Virtex-7 (2011) 28nm Kintex-7 (2011) 28nm Artix-7 (2011) 28nm 25

26 Pannon Egyetem - VIRT Tanszéken lévő fejlesztő kártyák Digilent ZyBo (Xilinx Zynq) Digilent Nexys-2 (Xilinx Spartan-3E) Digilent Atlys (Xilinx Spartan-6) Digilent Nexys-4 (Xilinx Artix-7) Xilinx Virtex-5 ML506 Virtex2-Pro (Xilinx Virtex2-Pro) RC203/RC200 (Xilinx Virtex-II) SW: Xilinx ISE Design Suite 14.x Xilinx Vivado 201x 26

27 Digilent Nexys-2 fejlesztő kártya Nexys 2 Xilinx Spartan-3E FPGA fejlesztő kártya Xilinx Spartan-3E FPGA, 500K / 1200K ekvivalens kapuval USB2 port (táp, konfiguráció, adat-transzfer egyben) Xilinx ISE/Webpack/EDK 16MB Micron PSDRAM 16MB Intel StrataFlash Flash Xilinx Platform Flash ROM 50MHz osszcillátor 75 FPGA I/O s (1 nagysebességű Hirose FX2 konnektor és 4 db 2x6 PMOD konnektor) GPIO: 8 LED, 4-jegyű 7- szegmenses kijelző, 4 nyomógomb, 8 kapcsoló VGA, PS/2, Soros port 27

28 Digilent Atlys fejlesztő kártya Atlys Xilinx Spartan-6 FPGA fejlesztő kártya Xilinx Spartan-6 LX45 FPGA 128Mbyte DDR2 16-bit (adatbusz) 10/100/1000 Ethernet PHY USB2 port (programozás és adatátvitel egyben) USB-UART and USB-HID port (egér/billentyű) 2 HDMI videó bemenet & 2 HDMI kimenet AC-97 Codec Real time power monitor 16Mbyte x4 SPI Flash (konfiguráció és adat tárolási célokra) 100MHz CMOS osszcillátor 48 I/O s (külső konektorokra) GPIO: 8 LED, 6 nyomógomb, 8 kapcsoló 1 PMOD, 1 VMOD csatlakozó 28

29 FPGA témájú tárgyak a Pannon Egyetemen VIRT tanszék (VEMIVI4144B) FPGA-alapú Beágyazott rendszerek (VEMIKN5143D) Tervezési módszerek programozható logikai eszközökkel 29

30 FPGA létjogosultsága? A mai modern FPGA-k a nagyfokú flexibilitásukkal, nagy számítási teljesítményükkel, és (ASIC = Alkalmazás Specifikus Áramkörökkel szemben) gyors prototípus-fejlesztési, ezáltal olcsó kihozatali (piacra kerülési) költségükkel igen jó alternatívát teremtenek a mikrovezérlős (uc), illetve DSP-alapú implementációk leváltására (pl. jelfeldolgozás, hálózati titkosítás, beágyazott rendszerek, stb. alkalmazásai területén). Fejlődésüket jól tükrözi a mikroprocesszorok és az FPGA/PLD áramköri technológia fejlődési üteme között fennálló hasonlóság a méretcsökkenésnek ( scalingdown ) - Gordon Moore-törvénynek megfelelően (1965!). 30

31 Nagy-teljesítményű Xilinx Virtex FPGA család erőforrásainak alakulása ( időszakban) 31 * PhD dissertation Zs. Vörösházi

32 Pl. Spartan-3E architektúra Általános felépítés: MicroBlaze Soft-proc Core(s) 32

33 Spartan-3E FPGA építőelemei CLB: Konfigurálható Logikai blokkok Slice-L, mint Logika: LUT, D-FF, MUX, Carry Logika Slice-M, mint Memória: SRL-16x1, RAM-16x1 IOB: I/O blokkok MULT: 18x18 bites előjeles (2 s) szorzó(k) BRAM: konfigurálható 18 Kbites (~2 Kbyte + paritás) egy/két-portos memóri(ák) DCM: Digitális órajel menedzser blokkok Beágyazható processzor(ok): Csak szoft-processzor mag(ok) alakíthatóak ki Pl: Xilinx MicroBlaze, PicoBlaze, (esetleg külső független IP szellemi terméke integrálható) 33

34 Spartan-3E CLB tömb CLB: Konfigurálható Logikai Blokkok: fő logikai erőforrás, kombinációs és szekvenciális logikai hálózatok tervezésére 1 CLB = 4 Slice! *4= *2= *16= *4= *2= *16= Digilent Nexys-2 fejlesztőkártyán 34

35 Kombinációs hálózatok megvalósítása memóriákkal 35

36 1.) ROM: Read Only Memory Csak egyszer írható (általában a gyártó által), utána már csak olvasható memória. Kikapcsoláskor megőrzik tartalmukat! Szervezése a RAM-hoz hasonló (de itt nem kell Write/Read vonal) Fontos alkalmazásai: Firmware: elektronikai eszközök (pl. számítógép ROM-Bios funkcióinak tárolására) Kód konverter: pl. BCD generáló 7 szegmenses kijelzőre Logikai függvény generátor: tetszőleges logikai fgv. szintézisénél (előállításánál) ROM-ban tároljuk az igazságtáblázatot Programozható ROM fajtái: PROM*: egyszer programozható EPROM*: programozható, és UV-fénnyel törölhető EEPROM*: elektromosan programozható/törölhető (Flash) *Lásd: programozási módok 36

37 2.) RAM: Random Access Memory Véletlen hozzáférésű, írható és olvasható memória. Címzésnél: dekódoló és multiplexer áramköröket használunk Pl. 10 lábbal -> 1024 (1K) cellát tudunk megcímezni Jelölés: RAM celláinak száma (4096) / tároló kapacitás 4K. Példák: a.) 4K= 1K x 4 RAM tartalmaz: 1024 számú 4 bites szót (word) b.) 4K= 4K x 1 RAM tartalmaz: 4096 számú 1-bites szót (word) (de itt az 1 bit/szó szervezéssel lábszámot spórolunk!) 12+1 b.) Cím 12 bit a.) Cím 10 bit bites adatút (data-path) 4096 elemű (rekeszű) memória tömb 4 bites adatút (data-path) 1024 elemű (rekeszű) memória tömb 37

38 RAM: SRAM (Statikus) és DRAM (dinamikus) memória cellák felépítése: Word Line V DD Word Line n-mo s p-mo s p-mo s n-mo s Bit Line n-mo s n-mo s n-mo s C Bit Line Gnd SRAM: n-mos és p-mos (n és p csatornás tranzisztorokból épül fel) 2-2 db, + 2 db áteresztő tranzisztor (össz. CMOS tranzisztor) Bit Line Gnd DRAM: Tárolás: egy kis méretű C kondenzátor töltése és kisütése. 38

39 a.) SRAM tulajdonságai Az információ a tápfesz. alatt is megmarad, nem kell frissíteni. Megvalósítható bipoláris (0,1) SRAM cellával, nmos tranzisztorokkal, vagy CMOS tranzisztorokból (6 tranzisztor). Kisebb kapacitású, de gyorsabb a DRAM-nál, mivel tápfeszültség alatt sem kell frissíteni. SRAM esetén a ciklusidők T(R/W Cycle) T(Access Time) közel azonosak. Nagy a fogyasztása. Integritási sűrűsége 4x rosszabb. Tápfeszültség kikapcsolásával elveszti a tartalmát. Felhasználása: Cache memóriákban, digitális oszcillátorokban, logikai analizátorokban, operatív tárakban (memória), merevlemezek gyorsító pufferében, nyomtatók memóriájában. 39

40 b.) DRAM tulajdonságai Általában CMOS technológiával készülnek. A tápfeszültség alatt is frissíteni kell 2-10 ms-ként, mivel idővel elvesztik tartalmukat. Kicsi a fogyasztása Nagyobb kapacitású, mint az SRAM, de lassabb (frissítés!) A hozzáférési idő kétszer nagyobb a memória R/W ciklusidejénél: 2*T(R/W Cycle)=T(Access Time). Egyszerűbb felépítésű (1 tranzisztor + kondenzátor), szemben az SRAM-al. Integritási sűrűsége 4x nagyobb. (IRAM: az időzítő elektronika a DRAM-ra van integrálva). Itt lényegében a CS=Chip Select (korábban CE: Chip Enable!) jelet két részre osztották fel: RAS=sorkijelölő, és CAS=oszlopkijelölő komponensekre. Felhasználása: operatív memória (DDR-, DDR-II, DDR3- SDRAM) 40

41 Memória működése röviden Egy adott rekesz tartalmát úgy tudjuk kiolvasni, hogy a címbemenetekre (Address pins) adjuk annak sorszámát (bináris formában), amelyre az adatkimeneteken (DATA pins) a véges ún. hozzáférési idő (access time) elteltével megjelenik a rekeszben tárolt szám. *Arató könyvben ciklus időnek van definiálva, amelyet a szakirodalomban inkább tekintenek két egymást követő olvasási, vagy írási tranzakció között eltelt időnek Beírás hasonló módon történhet. Címek (A6 A0) 68 Adat (D3 D0) 41

42 Memória tulajdonságai A memória kapacitása a tárolható szavak számát jelöli. Ha n darab címvezetékünk van, a memória 2^n db rekeszt tartalmaz. A tárolás jellege alapján kétféle típust különböztetünk meg (később részletesen): RAM ROM Az egy rekeszben tárolható bitek száma adja a memória szóhosszúságát (word length). 42

43 Kombinációs hálózatok és a memóriák kapcsolata A K.H-ban egy adott bemeneti kombinációra az igazságtábla ugyanazon sorában feltüntetett kimeneti bináris kombináció a válasz. (Tehát beadunk egy bináris számot, amire válaszul egy másik bináris számot várunk a kimeneteken). A memóriák feladata is teljesen ugyanez: kiolvasáskor minden egyes cím megadásakor egy előzőleg betöltött adat jelenik meg. Vagyis ha egy memóriát egy vele azonos számú be-, és kimenettel rendelkező K.H. igazságtáblája szerint töltünk fel, akkor ez a memória helyettesítheti a kombinációs hálózatot. 43

44 K.H. Memória ekvivalencia n=4 m=2 44

45 A memóriaelemmel történő megvalósítás előnyei: könnyen átprogramozható, így a fejlesztési szakaszban nem kell újraépítenünk kapukból az egész áramkört, még apró változtatásnál sem, nem igényel függvény-egyszerűsítést (minimalizálást), nem fordulhat elő benne statikus és dinamikus hazárd (mivel nem kapukból és huzalozással építjük fel, hanem az igazságtábla alapján direkt módon határozzuk meg a beírandó adatokat!)!de itt is van/lehet funkcionális hazárd, amit pl. szinkronizációval szüntethetünk meg (nem szomszédos bemeneti címváltozásokra kimeneti adatváltozások) Erre megoldás az EN engedélyező/tiltó bemenet 45

46 A memóriaelemmel történő megvalósítás hátrányai: Egy memória-áramkör sokkal lassabb lehet, mint a logikai kapukból összeállított huzalozott / dedikált kombinációs hálózat (főleg ha több hierarchia szinten összekötött memória áramkörök késleltetését tekintjük), speciális időzítési feltételekkel fogadhat csak jeleket (pl. a címnek bizonyos ideig stabilnak kell maradnia, hogy előálljon a kimenet), míg a függvény-egyszerűsítéssel kapott megoldás esetleg csak néhány kapuból állna, addig a memóriába a teljes igazságtáblázatot be kell programozni (tárolni): n darab bemenethez mindenképp egy 2^n kapacitású memóriát kell választani (katalógus), a memóriaelem a legtöbb esetben drágább is. 46

47 Memória elem alkalmazása több kimenetű K.H. megvalósítására K.H Memória Bemeneti kombináció = címek Kimeneti kombináció = adat kimenetek EN/CS (Enable, más néven Chip Select) memória elem engedélyezése, vagy tiltása Huzalozott VAGY kapcsolat: akár több memória elem kimenete is összekapcsolható huzalozott módon. x 1 x 2 x n C 1 C 2 C n Memória elem EN/CS CLK D 1 D 2 D m F 1 F 2 F m 47

48 Memória: Huzalozott VAGY kapcsolatok Mindig csak egy memóriaelem bemenete engedélyezett egyszerre, a többié tiltott! EN i = 1 engedélyezés. EN j i = 0 tiltás Wired OR 48

49 K.H. megvalósítása memóriával lehetséges esetek 1.) megvalósítandó K.H. bemenete kevesebb, mint a memória címbemenete (nem használjuk a memória plusz cím bemeneteit, GND lekötés) 2.) megvalósítandó K.H. kimenete kevesebb, mint a memória adatkimenete (nem használjuk a memória plusz adat kimeneteit, GND lekötés) 3.) megvalósítandó K.H. kimenete több, mint a memória adatkimenete (több memória elem kell) 4.) megvalósítandó K.H. bemenete több, mint a memória címbemenete (több memória elem, és dekóder kell) 5.) megvalósítandó K.H. bemenete és kimenete is több, mint a memória címbemenete, ill. adatkimenete (több memória elem, és dekóder kell) 49

50 3.) K.H. megvalósítása memóriával Ha a megvalósítandó K.H. kimeneteinek száma több (pl. m+2), mint a memória adatkimeneteinek száma ( pl. m): Egyetlen memória elem nem elegendő! Bővíteni kell. E Mem Mem 50

51 4.) K.H. megvalósítása memóriával Ha a megvalósítandó K.H. bemeneteinek száma (n+2) több, mint a memória cím-bemeneteinek száma (n): Egyetlen memória elem nem elegendő! Bővíteni. Mivel 2 n számú bináris kombináció helyett 2 n+2 -t kell tárolni (azaz 4*2 n ) Bővíteni: K átkódoló K.H. beépítése vezérli a memóriák E engedélyezését K 1 4 kimeneteken n-ből 1 kód (one-hot-code) x(n+1) x(n+2) K1 K2 K3 K

52 4./a) Lehetséges megoldás: K.H. megvalósítása memóriával Ha a megvalósítandó K.H. bemeneteinek száma több (pl. n+2), mint a memória címbemeneteinek száma (pl. n): Megpróbálni a bemenetek számát egy előfeldolgozó logikai hálózattal (K.H.) csökkenteni, még a MEM címek kiadása előtti állapotban (amelyeket már egyetlen MEM is kezelni tud! DE! nem szisztematikus módszer melyik jeleket alakítsuk át? 52

53 5.) K.H. megvalósítása memóriával Ha a megvalósítandó K.H. bemeneteinek (n+2) és kimeneteinek száma (m+2) is több (tfh. 2-vel), mint a memória címbemeneteinek (n), és adatkimeneteinek száma (m): Egyetlen memória elem nem elegendő! Bővíteni. Előző 3.) és 4.) módszereket együttesen kell alkalmazni a bővítéshez. x(n+1) x(n+2) K1 K2 K3 K

54 K.H. megvalósítása memóriával Megjegyzés: nincs akadálya annak, hogy a K átkódoló vagy elő-feldolgozó logikai hálózatot memóriával (tipikusan ROM-al) valósítsanak meg. De ekkor a több-szintű, sorba kapcsolt memória hálózat miatt csökkenhet a megvalósított hálózat működési sebessége (cím -> érvényes adat megjelenése a kimeneten stb.). 54

55 Példa 1.): A megadott Memória elem segítségével realizáljon egy K.H.-ot, ha adottak az alábbi függvényei: n= n= n 2 1 F (x, x, x, x ) = (0,1,4,15) i= 0 n 2 1 F (x, x, x, x ) = (0,1,8,9,11) i= 0 x 1 x 2 x 3 x 4 C 1 C 2 C 3 R/W Memória elem D 1 EN/CS CLK F 1 F 2 a.) Ha szükséges, adja meg a dekódoló logika ( K ) igazságtáblázatát is! b.) Adja meg pontosan a memória feltöltését is! 55

56 Példa 1. (folyt.): Mivel a Memória elem cím-bemeneteinek száma (n=3) 1-el kevesebb, illetve az adat-kimenetének száma (m=1) is 1-el kevesebb, mint a realizálandó K.H. bemeneteinek (n=4), ill. kimeneteinek (m=2) száma, ezért bővíteni kell: Több memória elem 1-1 memória elem kimenetenként Dekódoló logika K 2 n+1 = 2*2 n (1 2 dekóder), azaz K1, K2 engedélyezők x 1 x 2 x 3 C 1 C 2 Memória elem D 1 F 1 x 4 C 3 F 2 Összesen 4 db memória elem kell! R/W EN/CS CLK 56

57 x 1 C 1 Példa 1. Megoldás x 2 x 3 C 2 C 3 Memória elem M1 D 1 F1 EN/CS CLK a.) K: dekódoló logika x 1 x 2 C 1 C 2 Memória elem D 1 x(4) K1 K x 4 K 1->2 Dekódoló Logika K 1 K 2 x 3 x 1 x 2 C 3 C 1 C 2 EN/CS M2 Memória elem CLK D 1 F 2 K1: M1, M3 K2: M2, M4 x 3 C 3 EN/CS M3 CLK x 1 C 1 x 2 C 2 Memória elem D 1 x 3 C 3 M4 EN/CS CLK 57

58 b.) A memória elemek feltöltése n n= = 2 1 F (x, x, x, x ) (0,1, 4,15) i= 0 n= n 2 1 = F (x, x, x, x ) (0,1,8,9,11) Cím F1 Cím F2 MEM#1 0 1 MEM# i= 0 MEM#2 8 0 MEM#

59 Példa 2.): A megadott Memória elem segítségével realizáljon egy K.H.-ot, ha adottak az alábbi függvényei: n 2 1 F (x,.., x ) = (0,1,4,31) i= 0 n 2 1 F (x,...x ) = (0,1, 4,15,16,17, 21, 22) i= 0 x 1 x 2 x 3 x 4 x 5 C 1 C 2 C 3 R/W Memória elem EN/CS D 1 CLK F 1 F 2 a.) Ha szükséges, adja meg a dekódoló logika ( K ) igazságtáblázatát is! b.) Adja meg pontosan a memória feltöltését is! 59

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 6. előadás: Vezérlő egységek II. - Programozható logikai eszközök Előadó: Dr. Vörösházi Zsolt

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

Dr. Oniga István. DIGITÁLIS TECHNIKA 10 Memóriák

Dr. Oniga István. DIGITÁLIS TECHNIKA 10 Memóriák Dr. Oniga István DIGITÁLIS TECHNIKA 10 Memóriák Memóriák Programot, és adatokat tárolnak D flip-flop egyetlen bit, a regiszter egy bináris szám tárolására alkalmasak Memóriák több számok tárolására alkalmasak

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

Dr. Oniga István. DIGITÁLIS TECHNIKA 10 Memóriák

Dr. Oniga István. DIGITÁLIS TECHNIKA 10 Memóriák Dr. Oniga István DIGITÁLIS TECHNIKA 10 Memóriák Memóriák Programot, és adatokat tárolnak D flip-flop egyetlen bit, a regiszter egy bináris szám tárolására alkalmasak Memóriák több számok tárolására alkalmasak

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

elektronikus adattárolást memóriacím

elektronikus adattárolást memóriacím MEMÓRIA Feladata A memória elektronikus adattárolást valósít meg. A számítógép csak olyan műveletek elvégzésére és csak olyan adatok feldolgozására képes, melyek a memóriájában vannak. Az információ tárolása

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben

Digitális Rendszerek és Számítógép Architektúrák (BSc államvizsga tétel)

Digitális Rendszerek és Számítógép Architektúrák (BSc államvizsga tétel) Pannon Egyetem Villamosmérnöki és Információs Rendszerek Tanszék Digitális Rendszerek és Számítógép Architektúrák (BSc államvizsga tétel) 3. tétel: Vezérlő egységek, programozható logikai eszközök (modell

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás

Részletesebben

PLA és FPLA áramkörök

PLA és FPLA áramkörök Programozható logikai áramkörök PLA és FPLA áramkörök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt : FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt : FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt : FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008. A Xilinx FPGA-k A programozható logikákr król általában A Spartan-3 3 FPGA belső felépítése Az FPGA fejlesztés s menete BMF KVK MAI, Molnár Zsolt, 2008. A programozható logikák k I. Logikai eszközök: -

Részletesebben

Számítógép felépítése

Számítógép felépítése Alaplap, processzor Számítógép felépítése Az alaplap A számítógép teljesítményét alapvetően a CPU és belső busz sebessége (a belső kommunikáció sebessége), a memória mérete és típusa, a merevlemez sebessége

Részletesebben

Digitális Rendszerek és Számítógép Architektúrák

Digitális Rendszerek és Számítógép Architektúrák PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Digitális Rendszerek és Számítógép Architektúrák 6. előadás: Programozható logikai eszközök: CPLD, FPGA. HLS: magas szintű szintézis

Részletesebben

Pannon Egyetem, MIK-VIRT, Veszprém. Dr. Vörösházi Zsolt

Pannon Egyetem, MIK-VIRT, Veszprém. Dr. Vörösházi Zsolt Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 1. Programozható logikai eszközök (PLD) és FPGA-k bemutatása.

Részletesebben

Pannon Egyetem, MIK-VIRT, Veszprém. Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu

Pannon Egyetem, MIK-VIRT, Veszprém. Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 1. Programozható logikai eszközök (PLD) és FPGA-k bemutatása.

Részletesebben

Beágyazott Rendszerek és Programozható Logikai Eszközök (Villamosmérnök BSc)

Beágyazott Rendszerek és Programozható Logikai Eszközök (Villamosmérnök BSc) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Beágyazott Rendszerek és Programozható Logikai Eszközök (Villamosmérnök BSc) 1. előadás: Programozható logikai eszközök (PLD-k).

Részletesebben

Digitális Rendszerek és Számítógép Architektúrák (Levelező BSc)

Digitális Rendszerek és Számítógép Architektúrák (Levelező BSc) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Digitális Rendszerek és Számítógép Architektúrák (Levelező BSc) 4. előadás: Szekvenciális Hálózatok: Vezérlő egységek, Programozható

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Memóriák. Digitális Rendszerek és Számítógép Architektúrák 2011

Memóriák. Digitális Rendszerek és Számítógép Architektúrák 2011 Memóriák Digitális Rendszerek és Számítógép Architektúrák 2011 Memóriák, memória rendszerek RAM, ROM memóriák: nagyméretű, lineáris tároló tömb Virtuális memóriakezelés Lapozás Szegmentálás Cache memóriák

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

Témakiírások 2014/15. őszi félévben

Témakiírások 2014/15. őszi félévben Témakiírások 2014/15. őszi félévben Témavezető: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu voroshazi.zsolt@virt.uni-pannon.hu Veszprém, 2014. szeptember 9. Témaismertetés #1 National Instruments - LabView

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

Bepillantás a gépházba

Bepillantás a gépházba Bepillantás a gépházba Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív memória: A számítógép bekapcsolt

Részletesebben

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor

Ismerkedjünk tovább a számítógéppel. Alaplap és a processzeor Ismerkedjünk tovább a számítógéppel Alaplap és a processzeor Neumann-elvű számítógépek főbb egységei A részek feladatai: Központi egység: Feladata a számítógép vezérlése, és a számítások elvégzése. Operatív

Részletesebben

A processzor hajtja végre a műveleteket. összeadás, szorzás, logikai műveletek (és, vagy, nem)

A processzor hajtja végre a műveleteket. összeadás, szorzás, logikai műveletek (és, vagy, nem) 65-67 A processzor hajtja végre a műveleteket. összeadás, szorzás, logikai műveletek (és, vagy, nem) Két fő része: a vezérlőegység, ami a memóriában tárolt program dekódolását és végrehajtását végzi, az

Részletesebben

Digitális Rendszerek és Számítógép Architektúrák

Digitális Rendszerek és Számítógép Architektúrák Pannon Egyetem Képfeldolgozás és Neuroszámítógépek Tanszék Digitális Rendszerek és Számítógép Architektúrák 4. előadás: Vezérlő egységek Előadó: Vörösházi Zsolt voroshazi@vision.vein.hu Jegyzetek, segédanyagok:

Részletesebben

Kombinációs hálózatok Adatszelektorok, multiplexer

Kombinációs hálózatok Adatszelektorok, multiplexer Adatszelektorok, multiplexer Jellemző példa multiplexer és demultiplexer alkalmazására: adó egyutas adatátvitel vevő adatvezeték cím címvezeték (opcionális) A multiplexer az adóoldali jelvezetékeken jelenlévő

Részletesebben

6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes.

6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes. 6. óra Mi van a számítógépházban? A számítógép: elektronikus berendezés. Tárolja az adatokat, feldolgozza és az adatok ki és bevitelére is képes. Neumann elv: Külön vezérlő és végrehajtó egység van Kettes

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

A számítógép egységei

A számítógép egységei A számítógép egységei A számítógépes rendszer két alapvető részből áll: Hardver (a fizikai eszközök összessége) Szoftver (a fizikai eszközöket működtető programok összessége) 1.) Hardver a) Alaplap: Kommunikációt

Részletesebben

Integrált áramkörök/5 ASIC áramkörök

Integrált áramkörök/5 ASIC áramkörök Integrált áramkörök/5 ASIC áramkörök Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/33 Mai témák Az integrált áramkörök felosztása Integrált áramkörök létrehozása Integrált áramkörök tervezése

Részletesebben

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő)

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő) Memóriák (felejtő) Memória Kapacitás Ár Sebesség Memóriák - tárak Háttértár (nem felejtő) Memória Vezérlő egység Központi memória Aritmetikai Logikai Egység (ALU) Regiszterek Programok Adatok Ez nélkül

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Szűrő architektúrák FPGA realizációjának vizsgálata

Szűrő architektúrák FPGA realizációjának vizsgálata Szűrő architektúrák FPGA realizációjának vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Szántó Péter, 2013. Bevezetés Az FPGA-ban megvalósítandó jelfeldolgozási feladatok közül a legfontosabb

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 9. előadás: Memóriák Előadó: Vörösházi Zsolt Jegyzetek, segédanyagok: Könyvfejezetek: http://www.virt.vein.hu

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA

Dr. Oniga István DIGITÁLIS TECHNIKA Dr. Oniga István DIGITÁLIS TECHNIKA Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter, Lazanyi Janos, Raikovich

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel Rendszerspecifikáció BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel

Részletesebben

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC)

VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) VIII. BERENDEZÉSORIENTÁLT DIGITÁLIS INTEGRÁLT ÁRAMKÖRÖK (ASIC) 1 A korszerű digitális tervezés itt ismertetendő (harmadik) irányára az a jellemző, hogy az adott alkalmazásra céleszközt (ASIC - application

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Máté: Számítógép architektúrák 20100922 Programozható logikai tömbök: PLA (315 ábra) (Programmable Logic Array) 6 kimenet Ha ezt a biztosítékot kiégetjük, akkor nem jelenik meg B# az 1 es ÉS kapu bemenetén

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

LOGSYS LOGSYS KINTEX-7 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ április 3. Verzió

LOGSYS LOGSYS KINTEX-7 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ április 3. Verzió LOGSYS KINTEX-7 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2018. április 3. Verzió 1.1 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Megjelenítő eszközök... 4 2.1 LED-ek... 4 2.2 Hétszegmenses kijelző...

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS Arató Péter: Logikai rendszerek tervezése, Tankönyvkiadó,

Részletesebben

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK

IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK IRÁNYÍTÁSTECHNIKAI ALAPFOGALMAK, VEZÉRLŐBERENDEZÉSEK FEJLŐDÉSE, PLC-GENERÁCIÓK Irányítástechnika Az irányítás olyan művelet, mely beavatkozik valamely műszaki folyamatba annak: létrehozása (elindítása)

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK)

DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) DIGITÁLIS ADATTÁRAK (MEMÓRIÁK) A digitális berendezések a feladatuk ellátása közben rendszerint nagy mennyiségű adatot dolgoznak fel. Feldolgozás előtt és után rendszerint tárolni kell az adatokat ritka

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja

Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett: Pannon Egyetem 8200

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

Scherer Balázs: Mikrovezérlık fejlıdési trendjei

Scherer Balázs: Mikrovezérlık fejlıdési trendjei Budapesti Mőszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Scherer Balázs: Mikrovezérlık fejlıdési trendjei 2009. Budapesti Mőszaki és Gazdaságtudományi Egyetem, Méréstechnika

Részletesebben

Elvonatkoztatási szintek a digitális rendszertervezésben

Elvonatkoztatási szintek a digitális rendszertervezésben Budapest Műszaki és Gazdaságtudományi Egyetem Elvonatkoztatási szintek a digitális rendszertervezésben Elektronikus Eszközök Tanszéke eet.bme.hu Rendszerszintű tervezés BMEVIEEM314 Horváth Péter 2013 Rendszerszint

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel

Digitális rendszerek tervezése FPGA áramkörökkel BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,

Részletesebben

Fábián Zoltán Hálózatok elmélet

Fábián Zoltán Hálózatok elmélet Fábián Zoltán Hálózatok elmélet Fizikai memória Félvezetőkből előállított memóriamodulok RAM - (Random Access Memory) -R/W írható, olvasható, pldram, SDRAM, A dinamikusan frissítendők : Nagyon rövid időnként

Részletesebben

A PET-adatgy informatikai háttereh. Nagy Ferenc Elektronikai osztály, ATOMKI

A PET-adatgy informatikai háttereh. Nagy Ferenc Elektronikai osztály, ATOMKI A PET-adatgy adatgyűjtés informatikai háttereh Nagy Ferenc Elektronikai osztály, ATOMKI Eleveníts tsük k fel, hogy mi is az a PET! Pozitron Emissziós s Tomográfia Pozitron-boml bomló maggal nyomjelzünk

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Kérdések a témakörhöz Melyek a Neumann-elvek? Milyen főbb részei vannak a Neumann-elvek alapján működő számítógépeknek? Röviden mutasd be az egyes részek feladatait! Melyek a ma

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Budapesti Műszaki és Gazdaságtudományi Egyetem Közlekedés- és Járműirányítási Tanszék Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel Segédlet az Irányítástechnika I.

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök 4. hét: Ideális és valódi építőelemek Steiner Henriette Egészségügyi mérnök Digitális technika 2015/2016 Digitális technika 2015/2016 Bevezetés Az ideális és valódi építőelemek Digitális technika 2015/2016

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek Hobbi Elektronika A digitális elektronika alapjai: További logikai műveletek 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL, 5th.

Részletesebben

Számítógép fajtái. 1) személyi számítógép ( PC, Apple Macintosh) - asztali (desktop) - hordozható (laptop, notebook, palmtop)

Számítógép fajtái. 1) személyi számítógép ( PC, Apple Macintosh) - asztali (desktop) - hordozható (laptop, notebook, palmtop) Számítógép Számítógépnek nevezzük azt a műszakilag megalkotott rendszert, amely adatok bevitelére, azok tárolására, feldolgozására, a gépen tárolt programok működtetésére alkalmas emberi beavatkozás nélkül.

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint MEMÓRIÁK DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS MEMÓRIÁK PROGRAMOZHATÓ LOGIKÁK MIKROPROCESSZOR MIKROPROCESSZOROS RENDSZER

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális rendszerek. Mikroarchitektúra szintje

Digitális rendszerek. Mikroarchitektúra szintje Digitális rendszerek Mikroarchitektúra szintje Mikroarchitektúra Jellemzők A digitális logika feletti szint Feladata az utasításrendszer-architektúra szint megalapozása, illetve megvalósítása Példa Egy

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Kívánalom: sok kapu kevés láb Kombinációs áramkörök efiníció: kimeneteket egyértelműen meghatározzák a pillanatnyi bemenetek Multiplexer: n vezérlő bemenet, 2 n adatbemenet, kimenet z egyik adatbemenet

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3 Előadó: Dr. Oniga István DIGITÁLIS TEHNIK 3 Logikai függvények logikai függvény olyan egyenlőség, amely változói kétértékűek, és ezek között csak logikai műveleteket végzünk függvények megadása történhet

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben