Tervezési módszerek programozható logikai eszközökkel

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Tervezési módszerek programozható logikai eszközökkel"

Átírás

1 Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt Tervezési módszerek programozható logikai eszközökkel 8. VHDL FELADAT VGA vezérlő. Mérnöktovábbképző tanfolyam

2 2 VHDL Komplex feladat VGA VEZÉRLŐ

3 3 Felhasznált irodalom [1] Digilent Nexys-2 Board Reference Manual: [2] VGA időzítés: [3] VESA Generalized Timing Formula (GTF):

4 Nexys-2 periféria: 8-bit VGA 4

5 5 Nexys-2: VGA port Nexys-2 kártyán egy 8-bit/pixel-es VGA port található, melyhez két szabványos szinkronizáló jel (HS- horizontális szinkron, VS vertikális szinkron) tartozik. VGA interfész: 8-8 jelszint a RED/GREEN csatornákon, míg 4 jelszint a BLUE csatornákon(emberi szem kevésbé érzékeny a kék szín hiányára) N=8 bit/pixel, azaz 256 különböző színárnyalat jeleníthető meg pixelenként, egyidőben egyetlen színárnyalat, amelyet egy 8-bites minta (RGB color pattern) határoz meg. A VGA kimenetet vezérlő áramkört úgy kell elkészíteni, hogy a HS/VS szinkronizációs jeleket, és a színeket is kezelje, megfelelő időzítéssel ( kijelző ne essen ki a szinkronból ).

6 6 VGA időzítés VGA időzítését a VESA nevű szervezet specifikálja, és dokumentálja ( A következőekben egy példán keresztül a VGA szabványon alapuló rendszer időzítési információit adjuk meg: azaz, hogyan vezérelhető egy VGA felbontású monitor (640x480-as képméretet feltételezve)? A pontos időzítési információkért (paraméterek), és frekvencia értékekért a Felhasznált irodalom [2],[3] hivatkozásait használjuk.

7 CRT katódsugárcsöves rendszer CRT-alapú VGA kijelzők AMamplitúdó modulált mozgó elektronsugarakat használnak (katódsugárcső). LCD kijelzők egy kapcsoló tömböt használnak: a fény(light permittivity) szabályozható a kristályrácson keresztül pixelenként. LCD kijelzőket úgy tervezték, hogy a CRT-khez hasonló időzítési paraméterekkel működjenek. A színes CRT megjelenítők 3 elektronsugarat (RGB ágyút) használnak a kijelző belső felületét borító foszfor gerjesztésére. Gyorsító feszültség (acceleration) >20kV 7

8 8 VGA időzítési paraméterek Az elekronsugár (nyaláb / beam) folyamatosan pásztázza a kijelző belső falát (balról jobbra, fentről lefelé) történő irányban. A sugár a frame végén (479, 639) visszatér (0,0) pozícióba = retrace, majd újrakezdődik a pásztázás. A megjelenítési idő (display time) egy jelentős részét az ún. blanking periódus teszi ki: ez alatt a pixelek nem kerülnek ugyan megjelenítésre, viszont a szinkronizáció ekkor történik. Horizontális és vertikális szinkr. Frekvencia (f) = pixel órajel: a sugár mérete szabályozható és modulálható a kijelzőn, amely a képfelbontást meghatározza. Raszteres kijelzők: Sorok: horizontális átmenetek száma, Oszlopok: minden sorban azonos pozícióban lévő pixelek

9 9 VGA MHz pixel órajelen VGA vezérlő áramkör HS és VS időzítő jeleket generál: kép szinkronizációja és megjelenítése adott pixel órajelen(f). VS: kép frissítési frekvenciája (ált. 50 Hz 120 Hz tartományban). Sorok számát adott frissítési frekvencián a horizontális frekvencia(retrace) definiálja: Ált. 640x480-as (pixel x sor) képméret f=25 MHz-es videóórajelenés 60+/-1Hzfrissítésseltörténik. Szinkron jelek impulzus szélessége és a front -, back porch intervallumok megadása: Porch ~ csarnok, olyan intervallumokat definiál, amely a szinkronizációs jel előtti, illetve utáni néhány órajelciklust jelent, amely idő alatt látható információ nem jeleníthető meg a kijelzőn. horizontális-szinkron jel számlálója: minden egyes pixel órajelre lép, és a HS-t generálja. Ez a számláló használható a pixel pontos helyének a meghatározására is egy adott sorban. vertikális-szinkron jel számlálója: minden egyes HS impulzusra inkrementálódik és a VS-t generálja. Ez a számláló használható a kép egy adott sorának meghatározására is. f= 25MHz pixel órajel (40ns)

10 Feladat 1.) Tervezzen egy VGA kijelző időzítő áramkörét VHDL nyelven a mellékelt ábra / táblázat alapján. - Megj: vertikális és horizontális számlálók legyenek 10-bites unsigned típusú signal-ok - Constant-ok : nevük, értékük a Táblázat alapján. - a.) Felezze meg a belső órajelet (50 MHz 25 MHzpixelclock),vagy - példányosítsa a korábbi clkdiv modult a VGA_sync module ( VGA_sync.vhd ).D=? - b.) Készítsen testbench-et ISim-ben, és ( VGA_sync_tb.vhd ): vizsgálja meg a számlálók, szinkron jelek működését. to ensure - c.) Implementálja a terveket FPGA-n. Legyen a top-level modul ( VGA_disp_top.vhd ), példányosítsa a VGA_Synch.vhd-t. /használjon 8 VGA kimenetet (RGB <7:0>).ucf file/ Jelenítse meg pl. a vörös színt CRT/LCD-n (Megj: Red = 111, mialatt a Green és Blue csatorna legyen:= 000 ill 00 értékű). f= 25MHz pixel órajel (40ns) Top-level entitás port listája (megj.): mclk: in rst: in vs: out hs: out (VGA_red/ Bus: 2:0 / out VGA_green/ Bus: 2:0 / out VGA_blue/ Bus: 1:0 / out) 10

11 11 Megoldás 1/a.) VGA_sync.vhd Használjunk numeric standard csomagot az számlálók inkrementálásához ( + ) use IEEE.NUMERIC_STD.all; Az entitás portlistájában a következők szerepeljenek mclk, rst: in std_logic; hs, vs: out std_logic; video_en : out std_logic; --video_en = 1, ha a counterek az aktív megjeleníthető régióban vannak (mind a horizontális, és vertikális irányokban) Használjunk konstans értékeket a paraméterek megadásához -- VGA 640x480 timing parameters constant C_HDISP : integer:= 640; --horizontal display time constant C_HFP : integer:= 16; --horiz. front porch constant C_HBP : integer:= 48; --horiz. back porch constant C_HPW : integer:= 96; --horiz. pulse width (horiz.retrace time) constant C_VDISP : integer:= 480; --vertical display time constant C_VFP : integer:= 10; --v. front porch constant C_VBP : integer:= 29; --v. back porch -33 constant C_VPW : integer:= 2; --vert. pulse width (vert.retrace time)

12 Megoldás 1/a.) VGA_sync.vhd (folyt.) mod-2_reg negáltja generálja a 25 MHz belső órajelet mod2_next <= not mod2_reg; clk_25mhz_sig <= '1' when mod2_reg='1' else '0'; Alternatív megoldás: használhatjuk a clkdiv modult is, komponens bejelentése: component clkdiv port( mclk : in STD_LOGIC; clr : in STD_LOGIC; clk_out : out STD_LOGIC); end component; Legyen a következő egy belső órajelet átvivő signal: signal clk_25mhz_sig : std_logic := '0'; Alternatív megoldás: clkdiv példányosítása u1: entity work.clkdiv(behavioral) Generic map (D => 1) port map(mclk => mclk, clr => rst, clk_out => clk_25mhz_sig); 12

13 13 Megoldás 1/a.) VGA_sync.vhd (folyt.) Definiáljunk néhány belső jelet (regisztereltek legyenek): signal v_count_reg, v_count_next: unsigned(9 downto 0); = TSynch ver signal h_count_reg, h_count_next: unsigned(9 downto 0); = TSynch hor -- kimeneti bufferek signal v_sync_reg, h_sync_reg: std_logic; signal v_sync_next, h_sync_next: std_logic; -- státus jelek, flag-ek (egy horizontális sor végét, vagy egy oszlop végét jelölik) signal h_end, v_end : std_logic;

14 Megoldás 1/a.) VGA_sync.vhd (folyt.) Minden számlálót aszinkron módon reset-eljünk, és belső regisztereként legyenek kialakítva (D-FF alapúak): process (mclk, rst) begin if rst='1' then --mod2_reg <= '0'; --ha használjuk v_count_reg <= (others=>'0'); h_count_reg <= (others=>'0'); v_sync_reg <= '0'; h_sync_reg <= '0'; elsif (mclk'event and mclk='1') then --mod2_reg <= mod2_next; --ha használjuk v_count_reg <= v_count_next; h_count_reg <= h_count_next; v_sync_reg <= v_sync_next; h_sync_reg <= h_sync_next; end if; end process; 14

15 15 Megoldás 1/a.) VGA_sync.vhd (folyt.) -- konkurens utasítások -- státus h_end horizontális és v_end vertikális pozíciót jelöl (timing paraméterek, ábra alapján) h_end <= -- end of horizontal counter '1' when h_count_reg=(c_hdisp+c_hfp+c_hbp+c_hpw-1) else '0'; v_end <= -- end of vertical counter '1' when v_count_reg=(c_vdisp+c_vfp+c_vbp+c_vpw-1) else '0'; -- horizontal and vertical sync, bufferelt értékek h_sync_next <= '0' when (h_count_reg >= (C_HDISP+C_HFP)) and (h_count_reg <= (C_HDISP+C_HFP+C_HPW-1)) else '1'; v_sync_next <= '0' when (v_count_reg >= (C_VDISP+C_VFP)) and (v_count_reg <= (C_VDISP+C_VFP+C_VPW-1)) else '1';

16 16 Megoldás 1/a.) VGA_sync.vhd (folyt.) --h_counter process (szekvenciális utasítások) -- h_end generálása, horizontális szinkron számláló segítségével h_counter : process (h_count_reg, h_end, clk_25mhz_sig) begin if clk_25mhz_sig = '1' then MHz belső clock if h_end = '1' then h_count_next <= (others => '0'); else h_count_next <= h_count_reg + 1; --+ numeric.std!! end if; else h_count_next <= h_count_reg; end if; end process h_counter;

17 17 Megoldás 1/a.) VGA_sync.vhd (folyt.) --v_counter process (szekvenciális utasítások) -- v_end generálása vertikális számlálóval v_counter : process (v_count_reg, h_end, v_end, clk_25mhz_sig) begin if clk_25mhz_sig = '1' and h_end = '1' then belső órajel if (v_end = '1') then v_count_next <= (others => '0'); else v_count_next <= v_count_reg + 1; end if; else v_count_next <= v_count_reg; end if; end process; MHz

18 Megoldás 1/a.) VGA_sync.vhd (folyt.) -- konkurens értékadások -- videó engedélyező jel (aktív régión) video_en <= '1' when (h_count_reg < C_HDISP) and (v_count_reg < C_VDISP) else '0'; -- kimenetek VS és HS jeleinek előállításra, VGA interfészre hs <= h_sync_reg; vs <= v_sync_reg; 18

19 Megoldás 1/b.) VGA_sync_tb.vhd(folyt.) Testbencheredménye: Run simulation(szimulációs idő legyen legalább ~35ms = 1 teljes frame idejű!!) 19

20 20 Megoldás 1/b.) Új jelek hozzáadása waveform-hoz(folyt.) VGA_sync_tb.vhd *új belső jelek hozzáadása: v_end, h_end, clk_25_mhz_sig, h_sync, v_sync, (reg/next) h_count/v_count (reg/next) Add towavewindow Restart(szimuláció) (szimulációs idő legalább 35ms legyen!!)

21 Megoldás 1/b.) Waveform VGA_sync_tb.vhd Radix beállítása unsigned decimal 21

22 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vga_disp_top is port( Megoldás 1/c.) VHDL top-modul mclk, rst: in std_logic; sw: in std_logic_vector(7 downto 0); h_sync, v_sync: out std_logic; vgared: out std_logic_vector(2 downto 0); vgagreen: out std_logic_vector(2 downto 0); vgablue: out std_logic_vector(1 downto 0)); end vga_disp_top; architecture Behaviour of vga_disp_top is signal vga_out_reg: std_logic_vector(7 downto 0); signal video_en_sig: std_logic; signal clk_25mhz_sig : std_logic; COMPONENT vga_synch PORT(mclk: IN std_logic; rst: IN std_logic; ); END COMPONENT; hs: OUT std_logic; vs: OUT std_logic; video_en: OUT std_logic (vga_disp_top.vhd) begin uut1: entity work.vga_synch(behavioral) port map (mclk => mclk, rst => rst, hs => h_sync, vs => v_sync, video_en => video_en_sig); --vga output buffer vga_buffer : process (mclk, rst) begin if rst='1' then vga_out_reg <= (others=>'0'); elsif (mclk'event and mclk='1') then -- vga_out_reg <= sw; --use switch to set custom color pattern vga_out_reg <= " "; --custom color pattern end if; end process vga_buffer; -- vga_out_reg dstribution between Red(2:0), Green(2:0), Blue(1:0) as std_vector_logic type vgared <= vga_out_reg(7 downto 5) when video_en_sig='1' else (others => '0'); vgagreen <= vga_out_reg(4 downto 2) when video_en_sig='1' else (others => '0'); vgablue <= vga_out_reg(1 downto 0) when video_en_sig='1' else (others => '0'); end Behaviour; 22

23 Megoldás 1/c. UCF (Nexys-2) NET "mclk" LOC = "B8"; # Switches NET "sw<0>" LOC = "G18"; NET "sw<1>" LOC = "H18"; NET "sw<2>" LOC = "K18"; NET "sw<3>" LOC = "K17"; NET "sw<4>" LOC = "L14"; NET "sw<5>" LOC = "L13"; NET "sw<6>" LOC = "N17"; NET "sw<7>" LOC = "R17"; # VGA Connector (8-bit + 2 synch bit) NET "vgared<0>" LOC = "R9"; NET "vgared<1>" LOC = "T8"; NET "vgared<2>" LOC = "R8"; NET "vgagreen<0>" LOC = "N8"; NET "vgagreen<1>" LOC = "P8"; NET "vgagreen<2>" LOC = "P6"; NET "vgablue<0>" LOC = "U5"; NET "vgablue<1>" LOC = "U4"; NET "h_sync" LOC = "T4"; NET "v_sync" LOC = "U3"; # Buttons NET "rst" LOC = "B18"; 23

24 Megoldás 1/c.) Implementáció és teszt FPGA implementáció: (vga_disp_top.vhd) HDL szintaxis ellenőrzése Szintézis és implementáció - XST Bitfile generálás (JTAG CLK) Letöltés és teszt. További feladat: 8 kapcsoló (sw<7:0>) használatával változtassuk a háttér színét a fix színminta helyett Megj: a vga_buffer_procnevű process-tkell módosítani a vga_disp_top.vhd szintjén. 24

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 7. VHDL FELADATOK: Speciális nyelvi szerkezetek. Sorrendi

Részletesebben

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót VHDL szimuláció Labor II. Dr. Hidvégi Timót Tervezés 1 Lefoglalt szavak abs access after alias all and architecture array assert attribute block body buffer bus case component configuration constant disconnect

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: egyszerű logikai kapuk vizsgálata Logikai műveletek Tervezz egy egyszerű logikai kapukat

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa Fehér Béla

Részletesebben

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Digitális rendszerek I. rész Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu A tárgy célja Bevezető Digitális rendszertervezés alapjai Programozható logikai áramkörök Hardverleíró nyelvek (VHDL) A digitális

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 6. VHDL: speciális nyelvi szerkezetek. Sorrendi hálózatok

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 3. Xilinx ISE Design Suite integrált fejlesztő rendszer használata.

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

VHDL alapismeretek. (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet. Összeállította: dr. Zigó Tamás

VHDL alapismeretek. (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet. Összeállította: dr. Zigó Tamás VHDL alapismeretek (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet Összeállította: dr. Zigó Tamás E-mail: zigotamas@bytestudio.hu www.bytestudio.hu ByteStudio Bt. 2013. 10. 07. VHSIC (Very High

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Tervezzetek egy félösszeadó VHDL modult 2. Tervezzetek egy teljes összeadó VHDL modult 3. Schematic Editor segítségével tervezzetek egy 4 bit-es öszeadó áramkört. A két bemeneti számot a logikai

Részletesebben

Attribútumok, constraint-ek

Attribútumok, constraint-ek BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Attribútumok, constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 1

Digitális technika (VIMIAA01) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK HDL nyelvek: VHDL Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

A PC vagyis a személyi számítógép. XII. rész

A PC vagyis a személyi számítógép. XII. rész ismerd meg! A PC vagyis a személyi számítógép XII. rész 1. Monitorok és megjelenítésvezérlõ kártyák A monitor a számítógép egyik legszembetûnõbb része. Ezen követhetjük nyomon a gép mûködését, a programok

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

Egyszerű mikroprocesszor RTL modellek (VHDL)

Egyszerű mikroprocesszor RTL modellek (VHDL) Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű mikroprocesszor RTL modellek (VHDL) Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 11. Horváth Péter Egyszerű mikroprocesszor RTL modellek

Részletesebben

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 5. A VHDL alapjai II. Nyelvi szerkezetek. Konkurens és szekvenciális

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

1. Metrótörténet. A feladat folytatása a következő oldalon található. Informatika emelt szint. m2_blaha.jpg, m3_nagyvaradter.jpg és m4_furopajzs.jpg.

1. Metrótörténet. A feladat folytatása a következő oldalon található. Informatika emelt szint. m2_blaha.jpg, m3_nagyvaradter.jpg és m4_furopajzs.jpg. 1. Metrótörténet A fővárosi metróhálózat a tömegközlekedés gerincét adja. A vonalak építésének története egészen a XIX. század végéig nyúlik vissza. Feladata, hogy készítse el a négy metróvonal történetét

Részletesebben

Kanadai DOC közlemény... 2. Fontos biztonsági utasítások... 3. 1. fejezet Üzembehelyezés... 4. A monitor kicsomagolása... 4

Kanadai DOC közlemény... 2. Fontos biztonsági utasítások... 3. 1. fejezet Üzembehelyezés... 4. A monitor kicsomagolása... 4 Tartalom Elõszó... 2 FCC közlemény... 2 Kanadai DOC közlemény... 2 Fontos biztonsági utasítások... 3 1. fejezet Üzembehelyezés... 4 A monitor kicsomagolása... 4 Az LCD monitor és a talp összeillesztése...

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLI TECHNIKA 7 Előadó: r. Oniga István zekvenciális (sorrendi) hálózatok zekvenciális hálózatok fogalma Tárolók tárolók JK tárolók T és típusú tárolók zámlálók zinkron számlálók Aszinkron számlálók

Részletesebben

VHDL alapú tervezés. (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens

VHDL alapú tervezés. (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens VHDL alapú tervezés (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens BEVEZETÉS... 4 A VHDL NYELV MEGADÁSA... 5 A VHDL NYELV ELEMEI... 5 Kommentek... 5 Fentartott szavak... 5 Adattípusok...

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem VHDL. Horváth Péter, Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) 2013. október 3.

Budapesti Műszaki és Gazdaságtudományi Egyetem VHDL. Horváth Péter, Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) 2013. október 3. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem VHDL Horváth Péter, Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. október 3. Horváth

Részletesebben

Bevezető Típusok és operátorok a VHDL-ben A VHDL nyelv vezérlési szerkezetei Hieararchikus tervezés. Budapesti Műszaki és Gazdaságtudományi Egyetem

Bevezető Típusok és operátorok a VHDL-ben A VHDL nyelv vezérlési szerkezetei Hieararchikus tervezés. Budapesti Műszaki és Gazdaságtudományi Egyetem Budapesti Műszaki és Gazdaságtudományi Egyetem A VHDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A VHDL áttekintése 1 / 57 A

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

SJ5000+ MENÜBEÁLLÍTÁSOK. E l e c t r o p o i n t K f t., 1 0 4 4 B u d a p e s t, M e g y e r i ú t 1 1 6. F s z. 1. Oldal 1

SJ5000+ MENÜBEÁLLÍTÁSOK. E l e c t r o p o i n t K f t., 1 0 4 4 B u d a p e s t, M e g y e r i ú t 1 1 6. F s z. 1. Oldal 1 SJ5000+ MENÜBEÁLLÍTÁSOK E l e c t r o p o i n t K f t., 1 0 4 4 B u d a p e s t, M e g y e r i ú t 1 1 6. F s z. 1. Oldal 1 FIGYELMEZTETÉS! A vízálló tok gombjai nagyon erős rugóval vannak ellátva, ezért

Részletesebben

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK HDL nyelvek: VHDL Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

MSP430 programozás Energia környezetben

MSP430 programozás Energia környezetben MSP430 programozás Energia környezetben lámpákról Mostan színes tintákról álmodom 1 Az RGB LED bemutatása Az RGB LED három, különböző színű LED egy közös tokban. A három szín a három alapszín, amelyből

Részletesebben

Egyszerű áramkörök vizsgálata

Egyszerű áramkörök vizsgálata A kísérlet célkitűzései: Egyszerű áramkörök összeállításának gyakorlása, a mérőműszerek helyes használatának elsajátítása. Eszközszükséglet: Elektromos áramkör készlet (kapcsolótábla, áramköri elemek)

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István egyetemi docens. 2010 I félév

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István egyetemi docens. 2010 I félév LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István egyetemi docens 2010 I félév A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív

Részletesebben

Jegyzetelési segédlet 6.

Jegyzetelési segédlet 6. Jegyzetelési segédlet 6. Informatikai rendszerelemek tárgyhoz 2009 Szerkesztett változat Géczy László Rögzítés nélküli megjelenítés eszköze a képernyő (a display, monitor, TV képernyő) fizikai alapelv

Részletesebben

54 481 01 1000 00 00 CAD-CAM

54 481 01 1000 00 00 CAD-CAM Az Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről szóló 133/2010. (IV. 22.) Korm. rendelet alapján. Szakképesítés, szakképesítés-elágazás, rész-szakképesítés,

Részletesebben

Szintézis attributumok és constraint-ek

Szintézis attributumok és constraint-ek és constraint-ek BLACK BOX: forrás szinten nem adott modul (pl. szintetizált huzalozási lista) attribute black_box : string; attribute black_box of beh : architecture is yes; CLOCK_BUFFER_TYPE entity test

Részletesebben

W1934S. Felhasználói útmutató. Atermék használata el tt kérjük, olvassa át a következ Fontos

W1934S. Felhasználói útmutató. Atermék használata el tt kérjük, olvassa át a következ Fontos Felhasználói útmutató W1934S Atermék használata el tt kérjük, olvassa át a következ Fontos biztonsági óvintézkedéseket. A jöv beli tájékozódás céljából tartsa a Felhasználói útmutatót (CD) elérhet helyen.

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 3. Xilinx Vivado Design Suite integrált fejlesztő rendszer

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Az aktiválódásoknak azonban itt még nincs vége, ugyanis az aktiválódások 30 évenként ismétlődnek!

Az aktiválódásoknak azonban itt még nincs vége, ugyanis az aktiválódások 30 évenként ismétlődnek! 1 Mindannyiunk életében előfordulnak jelentős évek, amikor is egy-egy esemény hatására a sorsunk új irányt vesz. Bár ezen események többségének ott és akkor kevésbé tulajdonítunk jelentőséget, csak idővel,

Részletesebben

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel SRAM FPGA Architektúrák

Részletesebben

ÚTMUTATÓ A KONTROLL ADATSZOLGÁLTATÁS ELKÉSZÍTÉSÉHEZ (2012-TŐL)

ÚTMUTATÓ A KONTROLL ADATSZOLGÁLTATÁS ELKÉSZÍTÉSÉHEZ (2012-TŐL) ÚTMUTATÓ A KONTROLL ADATSZOLGÁLTATÁS ELKÉSZÍTÉSÉHEZ (2012-TŐL) A 2006-2010. évre vonatkozó, régebbi adatszolgáltatások esetében az adatszolgáltatás menete a mostanitól eltérő, a benyújtáshoz különböző

Részletesebben

HAMBURG Használati útmutató Vezérlőmodul UKSM 24VDC Cikkszám: 260.033

HAMBURG Használati útmutató Vezérlőmodul UKSM 24VDC Cikkszám: 260.033 HABURG Használati útmutató Vezérlőmodul UKS 24VDC Cikkszám: 260.033 Brandschutz-Technik und Rauchabzug GmbH Schnackenburgallee 41d D-22525 Hamburg Germany +49 40 89 71 20-0 Fax: +49 40 89 71 20-20 Internet:

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport)

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport) Információfeldolgozás laboratórium (BMEVIMIM322) Tárgyfelelős: dr. Sujbert László Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon Krébesz Tamás és dr. Sujbert László (BME-MIT-Beágyazott

Részletesebben

Tartalomjegyzék. Biztonsági szabályok 1 Elektromos biztonság 1 A szerelés biztonsága 1 A tisztítás biztonsága 1

Tartalomjegyzék. Biztonsági szabályok 1 Elektromos biztonság 1 A szerelés biztonsága 1 A tisztítás biztonsága 1 Tartalomjegyzék Biztonsági szabályok 1 Elektromos biztonság 1 A szerelés biztonsága 1 A tisztítás biztonsága 1 SPECIÁLIS MEGJEGYZÉSEK AZ LCD 1 MONITOROKKAL KAPCSOLATBAN Komponensek és kellékek 2 Használat

Részletesebben

ALES60. Infrasorompó DUPLASUGARAS. Telepítési kézikönyv. ISTRUZIONI ALES60 HUN POLITEC s r.l. 1 of 8

ALES60. Infrasorompó DUPLASUGARAS. Telepítési kézikönyv. ISTRUZIONI ALES60 HUN POLITEC s r.l. 1 of 8 ALES60 Infrasorompó DUPLASUGARAS Telepítési kézikönyv ISTRUZIONI ALES60 HUN POLITEC s r.l. 1 of 8 1. FŐBB ÖSSZETEVŐK LISTÁJA Csatlakozók TEST (Teszt) nyomógomb Csatornaválasztó kapcsoló Szabotázskapcsoló

Részletesebben

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 1 Az FPGA eszközök bemeneti jeleit gyakran mechanikai kapcsolókkal hozzuk létre. Használható váltókapcsoló, amely a nulla és az egyes logikai

Részletesebben

1.4 fejezet. RGB színrendszerek

1.4 fejezet. RGB színrendszerek 1 1.4 fejezet. RGB színrendszerek 1. sz. ábra. Számítógépes monitorról készült nagyítás Az RGB színrendszer a katódsugárcso képernyo összeadó színképzéséhez igazodik, amely a vörös, zöld és kék színeket

Részletesebben

T2491Wd LCD MONITOR. Felhasználói Kézikönyv

T2491Wd LCD MONITOR. Felhasználói Kézikönyv T2491Wd LCD MONITOR Felhasználói Kézikönyv A monitor üzembe helyezése előtt olvassa el ezt a kézikönyvet, majd őrizze meg későbbi referenciának. 1. FCC B osztályú rádiófrekvenciás zavarról szóló nyilatkozat

Részletesebben

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA 4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA A címben található jelölések a mikrovezérlők kimentén megjelenő tipikus perifériák, típus jelzései. Mindegyikkel röviden foglalkozni fogunk a folytatásban.

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

HWDEV-02A GSM TERMOSZTÁT

HWDEV-02A GSM TERMOSZTÁT HWDEV-02A GSM TERMOSZTÁT 2010 HASZNÁLATI ÚTMUTATÓ A termosztát egy beépített mobiltelefonnal rendelkezik. Ez fogadja az Ön hívását ha felhívja a termosztát telefonszámát. Érdemes ezt a telefonszámot felírni

Részletesebben

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók Bemutatás Leírás A legújabb fejlesztésű STIM DSCR univerzális vevőegység a DSC egyirányú vezeték nélküli eszközeinek a jelzéseit fogadja és azokat 5 darab szabadon konfigurálható relével bármilyen központra

Részletesebben

FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával

FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával Tartalom 1 Bevezetés... 2 2 A mérés során használt eszközök... 2 2.1 Spartan 3 FPGA család... 2 2.1.1 Kapcsolómátrix... 3 2.1.2 Órajel...

Részletesebben

Típus Egyes Dupla Egyes+LED jelzőfény

Típus Egyes Dupla Egyes+LED jelzőfény ipb nyomógombok Rendelési számok MSZ EN 669-1 és MSZ EN 947-5-1 b ipb nyomógombokat villamos áramkörök impulzus jellegű vezérlésére lehet használni. ipb nyomógombok Típus Egyes Dupla Egyes+LED jelzőfény

Részletesebben

TRIMx-EP DIGITÁLIS SZINKRON KAPCSOLÁS TRANSZFORMÁTOROK. Alkalmazási terület

TRIMx-EP DIGITÁLIS SZINKRON KAPCSOLÁS TRANSZFORMÁTOROK. Alkalmazási terület TRIMx-EP DIGITÁLIS SZINKRON KAPCSOLÁS VEZÉRLŐ KÉSZÜLÉK TRANSZFORMÁTOROK BEKAPCSOLÁSI ÁRAMLÖKÉSÉNEK CSÖKKENTÉSÉRE Alkalmazási terület A TRIMx-EP készülék feladata a transzformátorok bekapcsolási áramlökésének

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

1. forduló. MEGOLDÁSOK Pontszerző Matematikaverseny 2015/2016-os tanév

1. forduló. MEGOLDÁSOK Pontszerző Matematikaverseny 2015/2016-os tanév MEGOLDÁSOK Pontszerző Matematikaverseny 2015/2016-os tanév 1. forduló 1. feladat: Jancsi és Juliska Matematikai Memory-t játszik. A játék lényege, hogy négyzet alakú kártyákra vagy műveletsorokat írnak

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben

Tartalomjegyzék Informatikai berendezések újrahasznosítására vonatkozó információk Biztonság Fontos tudnivalók az

Tartalomjegyzék Informatikai berendezések újrahasznosítására vonatkozó információk Biztonság Fontos tudnivalók az Tartalomjegyzék Informatikai berendezések újrahasznosítására vonatkozó információk 1 Biztonság 1 Elektromos biztonság 1 Biztonság az üzembe helyezésnél 1 Biztonság tisztítás közben 1 Fontos tudnivalók

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 4. A VHDL alapjai I. Nyelvi típusok. Kifejezések, operátorok.

Részletesebben

AWP 4.4.4 TELEPÍTÉSE- WINDOWS7 64 OPERÁCIÓS RENDSZEREN

AWP 4.4.4 TELEPÍTÉSE- WINDOWS7 64 OPERÁCIÓS RENDSZEREN Hatályos: 2014. február 13. napjától AWP 4.4.4 TELEPÍTÉSE- WINDOWS7 64 OPERÁCIÓS RENDSZEREN Telepítési segédlet 1054 Budapest, Vadász utca 31. Telefon: (1) 428-5600, (1) 269-2270 Fax: (1) 269-5458 www.giro.hu

Részletesebben

LOGSYS DIGITÁLIS VIDEO INTERFÉSZ MEGVALÓSÍTÁSA A LOGSYS KINTEX-7 FPGA KÁRTYÁVAL június 16. Verzió 1.0.

LOGSYS DIGITÁLIS VIDEO INTERFÉSZ MEGVALÓSÍTÁSA A LOGSYS KINTEX-7 FPGA KÁRTYÁVAL június 16. Verzió 1.0. DIGITÁLIS VIDEO INTERFÉSZ MEGVALÓSÍTÁSA A LOGSYS KINTEX-7 FPGA KÁRTYÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 A VGA kép felépítése... 1 3 A TMDS adó megvalósítása...

Részletesebben

MSP430 programozás Energia környezetben. Nokia 5110 grafikus kijelzo vezérlése

MSP430 programozás Energia környezetben. Nokia 5110 grafikus kijelzo vezérlése MSP430 programozás Energia környezetben Nokia 5110 grafikus kijelzo vezérlése 1 Nokia 5110 kijelző Grafikus (képpontonként vezérelhető) LCD Felbontás: 84 x 48 pont (PCD8544 kontroller) Vezérlés: SPI felület

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai

Részletesebben

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait.

Mérési útmutató. A/D konverteres mérés. // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait. Mérési útmutató A/D konverteres mérés 1. Az A/D átalakítók főbb típusai és rövid leírásuk // Első lépésként tanulmányozzuk a digitális jelfeldolgozás előnyeit és határait. Csoportosítás polaritás szempontjából:

Részletesebben

A293Wd LCD MONITOR. Felhasználói Kézikönyv

A293Wd LCD MONITOR. Felhasználói Kézikönyv A293Wd LCD MONITOR Felhasználói Kézikönyv A monitor üzembe helyezése előtt olvassa el ezt a kézikönyvet, majd őrizze meg későbbi referenciának. 1. FCC B osztályú rádiófrekvenciás zavarról szóló nyilatkozat

Részletesebben

Biztonsági útmutató Tartozékok és kiegészítõk A monitor használata Függelék

Biztonsági útmutató Tartozékok és kiegészítõk A monitor használata Függelék Tartalom Biztonsági útmutató 1 Elektromos óvintézkedések 1 Üzembehelyezésnél 1 Tisztításnál 1 Tartozékok és kiegészítõk 1 A monitor használata 2 Üzembehelyezési útmutató 2 A kijelzõ beállítása 3 Mûködtetés

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

HDL alapú tervezés 2012.04.21. Milotai Zsolt

HDL alapú tervezés 2012.04.21. Milotai Zsolt HDL alapú tervezés 2012.04.21. Milotai Zsolt HDL alapú tervezés Cél Megismerkedni a harverleíró nyelvek világával és a hardverleírás alapjaival Tartalom Absztrakciós szintek és tervezési stratégiák A fontosabb

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

12953 - TRUST 1620W WIRELESS TELEVIEWER

12953 - TRUST 1620W WIRELESS TELEVIEWER 12953 - TRUST 1620W WIRELESS TELEVIEWER Utasítások a készülék első használatához Fejezet 1. Funkciók (3) 2. Csatlakoztatás (4) 3. A Televiewer használata (5) 1 Bevezetés Ez a használati utasítás a TRUST

Részletesebben

Az INTEL D-2920 analóg mikroprocesszor alkalmazása

Az INTEL D-2920 analóg mikroprocesszor alkalmazása Az INTEL D-2920 analóg mikroprocesszor alkalmazása FAZEKAS DÉNES Távközlési Kutató Intézet ÖSSZEFOGLALÁS Az INTEL D 2920-at kifejezetten analóg feladatok megoldására fejlesztették ki. Segítségével olyan

Részletesebben

Áramlástechnikai gépek soros és párhuzamos üzeme, grafikus és numerikus megoldási módszerek (13. fejezet)

Áramlástechnikai gépek soros és párhuzamos üzeme, grafikus és numerikus megoldási módszerek (13. fejezet) Áramlástechnikai gépek soros és párhuzamos üzeme, grafikus és numerikus megoldási módszerek (3. fejezet). Egy H I = 70 m - 50000 s /m 5 Q jelleggörbéjű szivattyú a H c = 0 m + 0000 s /m 5 Q jelleggörbéjű

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

12737 - TRUST TELEVIEWER 1610 RC

12737 - TRUST TELEVIEWER 1610 RC 12737 - TRUST TELEVIEWER 1610 RC 1 Bevezetés Ez a használati utasítás a TRUST TELEVIEWER 1610 RC felhasználói számára készült. A TRUST TELEVIEWER 1610 RC segítségével könnyedén TVképernyővé változtatható

Részletesebben

Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése

Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése 1 Megjegyzések: Lab 2 projektek Neopixel_simple egy WS2812 LED beállítása előre egy megadott színre. Neopixel_random véletlen színát

Részletesebben

Kép részlet kivágás útvonalak létrehozása és szerkesztése útvonalak kijelöléssé alakítása Kijelölés > Lágy szél

Kép részlet kivágás útvonalak létrehozása és szerkesztése útvonalak kijelöléssé alakítása Kijelölés > Lágy szél Kép részlet kivágás 2. Az útvonalak létrehozása és szerkesztése eszközzel rajzoljuk körbe a kijelölésre szánt részt 3. Az Útvonalak palettán kattintsunk az útvonalak kijelöléssé alakítása gomra. 4. Most

Részletesebben

DVR-812 VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ

DVR-812 VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ DVR-812 VEZETÉK NÉLKÜLI DVR HASZNÁLATI ÚTMUTATÓ TARTALOM Használati feltételek. 3 Általános jellemzők 3 Tartozékok. 3 Kezelőgombok és jelölések 4 Megfigyelői üzemmód 5 Lejátszás üzemmód. 6 Technikai paraméterek.

Részletesebben

E-ADÓ RENSZER HASZNÁLATI ÚTMUTATÓ

E-ADÓ RENSZER HASZNÁLATI ÚTMUTATÓ E-ADÓ RENSZER HASZNÁLATI ÚTMUTATÓ BEJELENTKEZÉS NÉLKÜL ELÉRHETŐ FUNKCIÓK 1. Adónaptár A bejelentkezést követően lehetőség van az eseményekről értesítést kérni! 2. Pótlékszámítás 3. Elektronikus űrlapok

Részletesebben

A993W LCD MONITOR. Felhasználói Kézikönyv

A993W LCD MONITOR. Felhasználói Kézikönyv A993W LCD MONITOR Felhasználói Kézikönyv A monitor üzembe helyezése előtt olvassa el ezt a kézikönyvet, majd őrizze meg későbbi referenciának. 1. FCC B osztályú rádiófrekvenciás zavarról szóló nyilatkozat

Részletesebben

Pontosság. időalap hiba ± 1 digit. Max. bemeneti fesz.

Pontosság. időalap hiba ± 1 digit. Max. bemeneti fesz. Conrad Szaküzlet 1067 Budapest, Teréz krt. 23. Tel: (061) 302-3588 Conrad Vevőszolgálat 1124 Budapest, Jagelló út 30. Tel: (061) 319-0250 Függvénygenerátor, FG-8202 Rend.sz.: 12 31 13 Az útmutatóban foglaltaktól

Részletesebben

W2043T W2243T W2343T. Felhasználói útmutató. Atermék használata el tt kérjük, olvassa át a következ Fontos

W2043T W2243T W2343T. Felhasználói útmutató. Atermék használata el tt kérjük, olvassa át a következ Fontos Felhasználói útmutató W2043T W2243T W2343T Atermék használata el tt kérjük, olvassa át a következ Fontos biztonsági óvintézkedéseket. A jöv beli tájékozódás céljából tartsa a Felhasználói útmutatót (CD)

Részletesebben

2. Gyakorlat Khoros Cantata

2. Gyakorlat Khoros Cantata 2. Gyakorlat Khoros Cantata Ismerkedés a Khoros Cantata-val: A Khoros Cantata egy képfeldolgozó műveletsorok készítésére szolgáló program. A műveleteket csővezetékszerűen lehet egymás után kötni. A műveleteket

Részletesebben

DT920 Fordulatszámmérő

DT920 Fordulatszámmérő DOC N : DT920 No EEx-62 DT920 Fordulatszámmérő Felhasználói leírás Gyártó: DATCON Ipari Elektronikai Kft 1148 Budapest, Fogarasi út 5 27 ép Tel: 460-1000, Fax: 460-1001 2 Tartalomjegyzék 1 Rendeltetés4

Részletesebben

PCM vezérlés CFR micro hővisszanyerőkhöz, UHP légkezelőkhöz, FBE ventilátorokhoz

PCM vezérlés CFR micro hővisszanyerőkhöz, UHP légkezelőkhöz, FBE ventilátorokhoz Ipari légkezelők hőmérsékletszabályozó egységei PCM vezérlés CFR micro hővisszanyerőkhöz, UHP légkezelőkhöz, FBE ventilátorokhoz MŰSZAKI LEÍRÁS ÉS KEZELÉSI UTASÍTÁS 2016.06.20. - 2 - PCM típusú vezérlés

Részletesebben

Belépési útmutató a MIAG weboldalra www.miag.com

Belépési útmutató a MIAG weboldalra www.miag.com Belépési útmutató a MIAG weboldalra www.miag.com Classification level: Public MEMBER OF METRO GROUP 1 Tartalom 1. Fontos tudnivaló p. 3 2. Bejelentkezés a www.miag.com weboldalra p. 4-5 3. E-mail cím regisztrálása

Részletesebben

**** Fıcsoport: 01 Idegrendszeri megbetegedések

**** Fıcsoport: 01 Idegrendszeri megbetegedések **** Fıcsoport: 01 Idegrendszeri megbetegedések **** 01 015D Cerebrovascularis betegségek (kivéve: TIA), praecerebralis érelzáródással, rtpa kezeléssel 06066 Alteplase BETEGSÉGEK "A" Minimálisan 100 mg

Részletesebben

NCT 101, 104, 115 szerszámgép vezérlések Telepítési leírása A.066 (M) (L) kiadási számú szoftver változattól

NCT 101, 104, 115 szerszámgép vezérlések Telepítési leírása A.066 (M) (L) kiadási számú szoftver változattól NCT 101, 104, 115 szerszámgép vezérlések Telepítési leírása A.066 (M) (L) kiadási számú szoftver változattól 2 Gyártó és fejlesztõ: NCT Ipari Elektronikai kft. H1148 Budapest Fogarasi út 7 Postafiók: 1631

Részletesebben

Budapest Airport embléma

Budapest Airport embléma Arculati kézikönyv embléma Alap logo Általános esetben használható embléma Egyszerűsített logo Ha nincs lehetőség az alapértelmezett logo teljes megjelenítésére (pl. méretkorlátok), a felirat elhagyható.

Részletesebben