2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved"

Átírás

1 EDK áttekintés 2004 Xilinx, Inc. All Rights Reserved Beágyazott rendszerek Beágyazott rendszereknek azokat a számítástechnikai eszközöket nevezzük, melyekre igazak a következő megállapítások: Dedikált funkcionalitás Tipikusan egy jól meghatározott funkció végrehajtására tervezték Szigorú peremfeltételeknek felel meg Alacsony költség Kevés alkatrész Gyors működés Kis fogyasztás Valós idejű működés és reagálás a változásokra Folyamatosan figyeli a kijelölt környezeti paramétereket és módosítja kimeneti jeleit Hardver és szoftver megoldások együttes alkalmazása EDK Overview Xilinx, Inc. All Rights Reserved 1

2 Beágyazott rendszerek Példák: Mobil telefon rendszerek Mind a bázisállomások, mind a fogyasztói készülékek Autóipari alkalmazások Fékrendszer, futómű, stabilitás vezérlés, légzsák rendszerek, motor vezérlés Repülőgépipar Repülésvezérlési rendszerek, hajtómű vezérlés, robot pilóta rendszer, fedélzeti utas szórakoztatás Védelmi rendszerek Radar rendszerek, légvédelmi rendszerek, rádió rendszerek, rakétavezérlő rendszerek EDK Overview Xilinx, Inc. All Rights Reserved Jelenlegi technológiák Mikrovezérlő alapú rendszerek DSP processzor-alapú rendszerek ASIC technológián alapuló rendszerek FPGA technológián alapuló rendszerek EDK Overview Xilinx, Inc. All Rights Reserved 2

3 Elmosódó határvonalak ASIC FPGA Utasítás kiterjesztés (Re)konfigurálható co-processzor FPGA kemény makró Szintetizálható és kemény processzor magok uc DSP Gyors DSP utasítások Processzor Perifériában gazdag DSP EDK Overview Xilinx, Inc. All Rights Reserved Integrációs szintek a rendszertervezésben Embedded Software Tools Funkciók integráltsága CPU Embedded Software Tools FPGA I/O Memory CPU Embedded Software Tools FPGA + Memory + IP + High Speed IO (4K & Virtex ) Logic Design Tools Logic + Memory + IP + Processors + RocketIO (Virtex-II Pro ) Logic Design Tools A programozható rendszerek egy új fejezetet nyitnak a rendszerintegrálás területén Logic Design Tools Idő EDK Overview Xilinx, Inc. All Rights Reserved 3

4 Beágyazott rendszerek FPGA áramkörökben Az FPGA áramkörökben alkalmazott beágyazott rendszerek tervezése a következő lépésekből áll FPGA beágyazott rendszer hardver tervezés C nyelvű meghajtó rutinok a hardver eszközökhöz Szoftver tervezés RTOS vagy főprogram és megszakításrutin EDK Overview Xilinx, Inc. All Rights Reserved PowerPC alapú beágyazott rendszerek Arbiter DSOCM Instruction PLB RocketIO Dedicated Hard IP PowerPC 405 Core Data Processor Local Bus Bus Bridge ISOCM DCR Bus OPB On-Chip Peripheral Bus Flexible Soft IP IBM CoreConnect on-chip bus standard PLB, OPB, and DCR Arbiter Hi-Speed Peripheral e.g. Memory Controller GB E-Net UART GPIO On-Chip Peripheral Külsö memóriák ZBT SSRAM DDR SDRAM SDRAM A teljes rendszer kialakítása a szükséges teljesítmény és költség paraméterek alapján EDK Overview Xilinx, Inc. All Rights Reserved 4

5 MicroBlaze alapú beágyazott rendszerek Local Memory LocalLink FIFO Channels 0,1.32 Custom Functions Bus MicroBlaze 32-Bit RISC Core Custom Functions Arbiter UART I-Cache D-Cache OPB On-Chip Peripheral Bus 10/100 E-Net Flexible Soft IP Configurable Sizes Possible in Dedicated Hard IP Virtex-II Pro PowerPC 405 Core Bus Bridge Hi-Speed Peripheral On-Chip Peripheral Instruction PLB Data Processor Local Bus e.g. Memory Controller GB E-Net Arbiter Külsö memória FLASH/SRAM EDK Overview Xilinx, Inc. All Rights Reserved Zynq-700 (EPP) EDK Overview Xilinx, Inc. All Rights Reserved 5

6 Témakörök Bevezetés EDK EDK áttekintés EDK Tervezői lépések folyamata Projekt menedzsment Támogatott rendszerek Projekt fájlok és a könyvtár struktúra EDK Overview Xilinx, Inc. All Rights Reserved Beágyazott rendszerek Tervezési folyamat áttekintése Beágyazott SW Fejlesztési folyamat C Code Compiler/Linker (Simulator) Object Code Data2MEM FPGA HW Fejlesztési folyamat VHDL/Verilog Synthesizer Simulator Place & Route? CPU kód CPU kód külsö belsö memóriában? memóriában? Letöltés FLASH-be & FPGA-ba Bitfájl Letöltés FPGA-ba? Debugger EDK Overview Xilinx, Inc. All Rights Reserved 6

7 EDK Beágyazott Rendszer Fejlesztőkészlet Mit takar a név? Az EDK fejlesztőrendszer készlet a Xilinx teljes értékű környezete beágyazott programozható rendszerek tervezésére A fejlesztőkészlet tartalmazza az összes eszközt,dokumentációt, és IP modulokat, amelyek szükségesek lehetnek az IBM fejlesztésű hardverben realizált PowerPC alapú és/vagy a Xilinx fejlesztésű MicroBlaze alapú lágyprocesszoros beágyazott rendszerek fejlesztéséhez Az EDK lehetővé teszi a beágyazott rendszerek szoftver és hardver elemeinek könnyű integrálását EDK Overview Xilinx, Inc. All Rights Reserved GNU alapú szoftver eszközök EDK eszközök C/C++ fordító a MicroBlaze és Power PC processzorokhoz (gcc) Fejlesztő és hibakereső (Debugger) a fenti két processzorhoz (gdb) Hardver és szoftver eszközök: Alaprendszer felépítő - Base System Builder BSB IP létrehozó, beépítő eszközök - IP Wizard Hardver hálózati leírás generátor PlatGen Könyvtár generáló eszközök LibGen Szimulációt támogató eszközök SimGen Periféria generáló ill. importáló eszközök Rendszerfejlesztő, verifikáló eszközök XMD Hardver fejlesztés a ChipScope Pro logikai analizátor mag támogatásával Eclipse IDE alapú szoftver fejlesztő készlet (SDK) Alkalmazási kód profiling eszközök Szoftver meghajtók az IP modulokhoz Virtuális platform generátor : VPGen Flash író szolgáltatás Dokumentáció Az EDK eszközök parancsmódban vagy a rendelkezésre álló grafikus felület (GUI) segítségével futtathatóak. EDK Overview Xilinx, Inc. All Rights Reserved 7

8 EDK lépések áttekintése MHS File system.mhs Source Code Source Code Processor IP MPD Files PlatGen Synthesis Compile MSS File system.mss EDIF IP Netlists Object Files LibGen system.ucf ISE/Xflow Link Libraries system.bit Data2MEM Executable download.bit Hardware EDK Overview Xilinx, Inc. All Rights Reserved Az EDK tervezési folyamat C Code VHDL or Verilog Standard Embedded SW Development Flow Embedded Development Kit Standard FPGA HW Development Flow Code Entry C/C++ Include Cross the Compiler BSP and Compile the Software Linker Image Board Support Package Data2MEM System Netlist HDL Entry Instantiate the Simulation/Synthesis System Netlist and Implement Implementation the FPGA? 2 Compiled ELF 3 Compiled BIT 1? Load Software Into FLASH Debugger Download Combined Image to FPGA Download Bitstream Into FPGA Chipscope RTOS, Board Support Package EDK Overview Xilinx, Inc. All Rights Reserved 8

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Vivado fejlesztői környezet Fehér Béla Raikovich

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

A hardver tervezése Xilinx, Inc. All Rights Reserved

A hardver tervezése Xilinx, Inc. All Rights Reserved A hardver tervezése 2004 Xilinx, Inc. All Rights Reserved Célok A modul befejezésével elsajátított ismeretek: A mester, szolga, buszhozzáférés kiosztó funkciók jelentése A MicroBlaze és PowerPC processzorok

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

Microblaze Micro Controller

Microblaze Micro Controller Microblaze MCS 2004 Xilinx, Inc. All Rights Reserved Microblaze Micro Controller Tulajdonságok MicroBlaze processzor LMB BRAM memória MicroBlaze Debug Module (MDM) LMB buszra illesztett IO modulok Külső

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Rendszerarchitektúrák labor Xilinx EDK

Rendszerarchitektúrák labor Xilinx EDK BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT Labor tematika

Részletesebben

Szoftver tervezés EDK. Kizárólag oktatási célra. Compile. PlatGen. Synthesis. LibGen. ISE/Xflow. Link. Data2MEM. Hardware. MSS File system.

Szoftver tervezés EDK. Kizárólag oktatási célra. Compile. PlatGen. Synthesis. LibGen. ISE/Xflow. Link. Data2MEM. Hardware. MSS File system. Szoftver tervezés 2004 Xilinx, Inc. All Rights Reserved EDK MHS File system.mhs Source Code Source Code MSS File system.mss Processor IP MPD Files PlatGen Synthesis EDIF IP Netlists Compile Object Files

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Raikovich Tamás BME MIT

Raikovich Tamás BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT 1. labor:

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak Page

Részletesebben

Témakiírások 2014/15. őszi félévben

Témakiírások 2014/15. őszi félévben Témakiírások 2014/15. őszi félévben Témavezető: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu voroshazi.zsolt@virt.uni-pannon.hu Veszprém, 2014. szeptember 9. Témaismertetés #1 National Instruments - LabView

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Debug lehetőségek Fehér Béla Raikovich Tamás

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

TI TMDSEVM6472 rövid bemutatása

TI TMDSEVM6472 rövid bemutatása 6.6.1. Linux futtatása TMDSEVM6472 eszközön TI TMDSEVM6472 rövid bemutatása A TMDSEVM6472 az alábbi fő hardver paraméterekkel rendelkezik: 1db fix pontos, több magos (6 C64x+ mag) C6472 DSP 700MHz 256MB

Részletesebben

Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja

Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett: Pannon Egyetem 8200

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor

Részletesebben

Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares

Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares VARGA Máté 1, PÓGÁR István 2, VÉGH János 1 Programtervező informatikus BSc szakos hallgató 2 Programtervező informatikus MSc

Részletesebben

A szoftverfejlesztés eszközei

A szoftverfejlesztés eszközei A szoftverfejlesztés eszközei Fejleszt! eszközök Segédeszközök (szoftverek) programok és fejlesztési dokumentáció írásához elemzéséhez teszteléséhez karbantartásához 2 Történet (hw) Lyukkártya válogató

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

6.2. TMS320C64x és TMS320C67xx DSP használata

6.2. TMS320C64x és TMS320C67xx DSP használata 6.2. TMS320C64x és TMS320C67xx DSP használata 6.2.1. bemutatása TI Davinci DM6446 EVM rövid A Davinci DM6446 EVM az alábbi fő hardver paraméterekkel rendelkezik: 1db ARM 9 CPU (ARM926EJ) 1db C64x DSP 4MB

Részletesebben

Dr. Schuster György október 30.

Dr. Schuster György október 30. Real-time operációs rendszerek RTOS 2015. október 30. Jellemzők ONX POSIX kompatibilis, Jellemzők ONX POSIX kompatibilis, mikrokernel alapú, Jellemzők ONX POSIX kompatibilis, mikrokernel alapú, nem kereskedelmi

Részletesebben

Rendszerarchitektúrák labor Xilinx EDK

Rendszerarchitektúrák labor Xilinx EDK BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT Labor tematika

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Scherer Balázs: Mikrovezérlık fejlıdési trendjei

Scherer Balázs: Mikrovezérlık fejlıdési trendjei Budapesti Mőszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Scherer Balázs: Mikrovezérlık fejlıdési trendjei 2009. Budapesti Mőszaki és Gazdaságtudományi Egyetem, Méréstechnika

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

Integrált áramkörök/6 ASIC áramkörök tervezése

Integrált áramkörök/6 ASIC áramkörök tervezése Integrált áramkörök/6 ASIC áramkörök tervezése Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/25 Mai témák Integrált áramkörök tervezése Az ASIC tervezés gyakorlata ASIC tervezési technikák Az

Részletesebben

Digitális áramkörök és rendszerek alkalmazása az űrben 3.

Digitális áramkörök és rendszerek alkalmazása az űrben 3. Budapest Universit y of Technology and Economics Digitális áramkörök és rendszerek alkalmazása az űrben 3. Csurgai-Horváth László, BME-HVT 2016. Fedélzeti adatgyűjtő az ESEO LMP kísérletéhez European Student

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Érzékelők és beavatkozók I.

Érzékelők és beavatkozók I. Érzékelők és beavatkozók I. Mikrovezérlők, mikroszámítógépek: 32-bites ARM Cortex architektúra c. egyetemi tanár - 1 - ARM ARM architektúrájú processzorok ARM Advanced RISC Machine RISC Reduced Instruction

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 1. Laboratóriumi gyakorlat A gyakorlat célja: megismerkedni a félév során használandó fejlesztőeszközökkel megszerezni a szükséges

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Platform Studio SDK. A hardver platform generálása Meghajtók, könyvtárak. Szoftver platform. projekt létrehozása. Softver alkalmazás

Platform Studio SDK. A hardver platform generálása Meghajtók, könyvtárak. Szoftver platform. projekt létrehozása. Softver alkalmazás Szoftver tervezés 2004 Xilinx, Inc. All Rights Reserved Software Development Kit (SDK) A fejlesztés folyamata: Platform Studio Platform Studio SDK A hardver platform generálása Meghajtók, könyvtárak Szoftver

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben

A ChipScope logikai analizátor

A ChipScope logikai analizátor A ChipScope egy, az FPGA tervbe integrálható logikai analizátor, amely az FPGA terv belső jeleinek vizsgálatára használható Előnye a normál logikai analizátorhoz képest Az igényeknek megfelelően konfigurálható

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 1. Laboratóriumi gyakorlat A gyakorlat célja: megismerkedni a félév során használandó fejlesztőeszközökkel megszerezni a szükséges

Részletesebben

Rendszertervezés FPGA eszközökkel

Rendszertervezés FPGA eszközökkel Rendszertervezés FPGA eszközökkel 1. előadás Programozható logikai eszközök 2011.04.13. Milotai Zsolt Tartalom Bevezetés: alkalmazási lehetőségek Nem programozható és programozható eszközök összehasonlítása

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

ARM processzorok felépítése

ARM processzorok felépítése ARM processzorok felépítése Az ARM processzorok több családra bontható közösséget alkotnak. Az Cortex-A sorozatú processzorok, ill. az azokból felépülő mikrokontrollerek a high-end kategóriájú, nagy teljesítményű

Részletesebben

MIKROELEKTRONIKA, VIEEA306

MIKROELEKTRONIKA, VIEEA306 Budapesti Műszaki és Gazdaságtudományi Egyetem MIKROELEKTRONIKA, VIEEA306 Tervezés FPGA-ra, Verilog, SystemC http://www.eet.bme.hu/~poppe/miel/hu/18-ictervezes3.ppt http://www.eet.bme.hu Tervezés FPGA-ra

Részletesebben

Bevezető az ISE rendszer használatához

Bevezető az ISE rendszer használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

1 Copyright 2012, Oracle and/or its affiliates. All rights reserved.

1 Copyright 2012, Oracle and/or its affiliates. All rights reserved. 1 Copyright 2012, Oracle and/or its affiliates. All rights reserved. 2 Copyright 2012, Oracle and/or its affiliates. All rights reserved. Oracle Auto Service Request (ASR) Miklecz Szabolcs Oracle Auto

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben

Autóipari beágyazott rendszerek CAN hardver

Autóipari beágyazott rendszerek CAN hardver Scherer Balázs, Tóth Csaba: Autóipari beágyazott rendszerek CAN hardver Előadásvázlat Kézirat Csak belső használatra! 2012.02.19. SchB, TCs BME MIT 2012. Csak belső használatra! Autóipari beágyazott rendszerek

Részletesebben

A MicroBlaze processzor

A MicroBlaze processzor A MicroBlaze processzor 32 bites általános célú RISC processzor Alkalmas önálló feladatok végrehajtására Kiegészítő egység a nagyteljesítményű PowerPC-s rendszerekben egységes interfész felület Lágy processzor

Részletesebben

1 Laboratóriumi gyakorlat

1 Laboratóriumi gyakorlat 1 Laboratóriumi gyakorlat A gyakorlat célja: megismerkedni a félév során használandó fejlesztoeszközökkel megismerkedni a félév során használandó fejlesztoeszközökkel megszerezni a szükséges készségeket

Részletesebben

Beágyazott rendszerek tervezése Dr. Odry Péter, Ferenc

Beágyazott rendszerek tervezése Dr. Odry Péter, Ferenc Beágyazott rendszerek tervezése Dr. Odry Péter, Ferenc Beágyazott rendszerek tervezése Dr. Odry Péter, Ferenc Publication date 2013 Szerzői jog 2013 Dr. Odry Péter Szerzői jog 2013 Dunaújvárosi Főiskola

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt : FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt : FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt : FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

FPGA áramkörök alkalmazásainak vizsgálata

FPGA áramkörök alkalmazásainak vizsgálata FPGA áramkörök alkalmazásainak vizsgálata Kutatási beszámoló a Pro Progressio alapítvány számára Raikovich Tamás, 2012. 1 Bevezetés A programozható logikai áramkörökön (FPGA) alapuló hardver gyorsítók

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

BME-MIT. Linux board bringup

BME-MIT. Linux board bringup Linux board bringup Előző előadás emlékeztető Linux alapok Alapfogalmak: Operációs rendszer rétegei Kernel és user space Device tree Driverfejlesztés alapok Kernel modul Hardverelérés Platform eszközvezérlők

Részletesebben

Digitális technika Xilinx ISE GUI használata

Digitális technika Xilinx ISE GUI használata BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Xilinx ISE GUI használata BME MIT Fehér Béla Raikovich

Részletesebben

Hitachi Flash Újdonságok. Szokol Zsolt Senior Solution Consultant 2016 március

Hitachi Flash Újdonságok. Szokol Zsolt Senior Solution Consultant 2016 március Hitachi Flash Újdonságok Szokol Zsolt Senior Solution Consultant 2016 március AFA vs HFA Per IDC: Historical For CY2014, the all-flash array market grew to $1.3B and hybrid-flash array market grew to $10.0B

Részletesebben

A Netburner fejlesztőeszköz alkalmazástechnikája

A Netburner fejlesztőeszköz alkalmazástechnikája 5.2.1. A Netburner fejlesztőeszköz alkalmazástechnikája A NetBurner vállalatról A NetBurner vállalatot ##LINK: http://netburner.com ## 1998-ban alapították. A kezdetekben hálózati eszközökhöz programozó

Részletesebben

A PET-adatgy informatikai háttereh. Nagy Ferenc Elektronikai osztály, ATOMKI

A PET-adatgy informatikai háttereh. Nagy Ferenc Elektronikai osztály, ATOMKI A PET-adatgy adatgyűjtés informatikai háttereh Nagy Ferenc Elektronikai osztály, ATOMKI Eleveníts tsük k fel, hogy mi is az a PET! Pozitron Emissziós s Tomográfia Pozitron-boml bomló maggal nyomjelzünk

Részletesebben

Szoftver rádió (SDR) rádióamatőröknek. Dr. Selmeczi János HA5FT

Szoftver rádió (SDR) rádióamatőröknek. Dr. Selmeczi János HA5FT Szoftver rádió (SDR) rádióamatőröknek Dr. Selmeczi János HA5FT ha5ft@freemail.hu Mi is az a szoftver rádió Olyan rádió amelyben A hardvert szoftverrel vezéreljük A fizikai réteg funkcióit szoftverrel valósítjuk

Részletesebben

Scherer Balázs: Mikrovezérlők fejlődési trendjei

Scherer Balázs: Mikrovezérlők fejlődési trendjei Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Scherer Balázs: Mikrovezérlők fejlődési trendjei 2009. Budapesti Műszaki és Gazdaságtudományi Egyetem, Méréstechnika

Részletesebben

Nagy Gergely április 4.

Nagy Gergely április 4. Mikrovezérlők Nagy Gergely BME EET 2012. április 4. ebook ready 1 Bevezetés Áttekintés Az elektronikai tervezés eszközei Mikroprocesszorok 2 A mikrovezérlők 3 Főbb gyártók Áttekintés A mikrovezérlők az

Részletesebben

Integrált áramkörök/5 ASIC áramkörök

Integrált áramkörök/5 ASIC áramkörök Integrált áramkörök/5 ASIC áramkörök Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/33 Mai témák Az integrált áramkörök felosztása Integrált áramkörök létrehozása Integrált áramkörök tervezése

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

A szoftverfejlesztés eszközei

A szoftverfejlesztés eszközei A szoftverfejlesztés eszközei Fejleszt! eszközök Segédeszközök (szoftverek) programok és fejlesztési dokumentáció írásához elemzéséhez teszteléséhez karbantartásához 2 Segédeszközök szükségessége Szoftver

Részletesebben

Firmware fejlesztés. Mártonfalvi Zsolt Hardware programozó

Firmware fejlesztés. Mártonfalvi Zsolt Hardware programozó Firmware fejlesztés Mártonfalvi Zsolt Hardware programozó Áttekintés Beágyazott rendszer A fejlesztés menete Milyen eszközökkel? Beágyazott rendszer Egy beágyazott rendszer (angolul: embedded system) olyan

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 3. Xilinx ISE Design Suite integrált fejlesztő rendszer használata.

Részletesebben

Első sor az érdekes, IBM PC. 8088 ra alapul: 16 bites feldolgozás, 8 bites I/O (olcsóbb megoldás). 16 kbyte RAM. Nem volt háttértár, 5 db ISA foglalat

Első sor az érdekes, IBM PC. 8088 ra alapul: 16 bites feldolgozás, 8 bites I/O (olcsóbb megoldás). 16 kbyte RAM. Nem volt háttértár, 5 db ISA foglalat 1 2 3 Első sor az érdekes, IBM PC. 8088 ra alapul: 16 bites feldolgozás, 8 bites I/O (olcsóbb megoldás). 16 kbyte RAM. Nem volt háttértár, 5 db ISA foglalat XT: 83. CPU ugyanaz, nagyobb RAM, elsőként jelent

Részletesebben

Témakörök. Beágyazott rendszerek MicroBlaze processzor EDK alapok Gyári és saját IP-k hozzáadása Szoftverfejlesztés HW és SW együttes fejlesztése

Témakörök. Beágyazott rendszerek MicroBlaze processzor EDK alapok Gyári és saját IP-k hozzáadása Szoftverfejlesztés HW és SW együttes fejlesztése Témakörök Beágyazott rendszerek MicroBlaze processzor EDK alapok Gyári és saját IP-k hozzáadása Szoftverfejlesztés HW és SW együttes fejlesztése 2 Asztali vs. beágyazott SW fejlesztés Asztali rendszerek:

Részletesebben

Közösség, projektek, IDE

Közösség, projektek, IDE Eclipse Közösség, projektek, IDE Eclipse egy nyílt forráskódú (open source) projekteken dolgozó közösség, céljuk egy kiterjeszthető fejlesztői platform és keretrendszer fejlesztése, amely megoldásokkal

Részletesebben

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés

2. Számítógépek működési elve. Bevezetés az informatikába. Vezérlés elve. Külső programvezérlés... Memória. Belső programvezérlés . Számítógépek működési elve Bevezetés az informatikába. előadás Dudásné Nagy Marianna Az általánosan használt számítógépek a belső programvezérlés elvén működnek Külső programvezérlés... Vezérlés elve

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Érzékelők és beavatkozók

Érzékelők és beavatkozók Mechatronikai szakirány Érzékelők és beavatkozók 1. előadás: Bevezetés c. egyetemi tanár - 1 - Rendszer Mérés Adatgyűjtés Kommunikáció Beavatkozás Detektálás Irányítás - 2 - Mérés, érzékelés Célok: Megismerés

Részletesebben

5.1. fejezet - Általános 32 bites mikrovezérlő/processzor alkalmazástechnikája A Freescale

5.1. fejezet - Általános 32 bites mikrovezérlő/processzor alkalmazástechnikája A Freescale 5.1. fejezet - Általános 32 bites mikrovezérlő/processzor alkalmazástechnikája Jelenleg a piacon több általános jellegű processzor-architektúra van a beágyazott eszköz piacon, ezek közül a legismertebbek:

Részletesebben

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások 8. Fejezet Processzor (CPU) és memória: The Architecture of Computer Hardware and Systems Software: An Information Technology Approach 3rd Edition, Irv Englander John Wiley and Sons 2003 Wilson Wong, Bentley

Részletesebben

11.3.7 Feladatlap: Számítógép összetevők keresése

11.3.7 Feladatlap: Számítógép összetevők keresése 11.3.7 Feladatlap: Számítógép összetevők keresése Bevezetés Nyomtasd ki a feladatlapot és old meg a feladatokat. Ezen feladatlap megoldásához szükséged lesz az Internetre, katalógusokra vagy egy helyi

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

11.2.1. Joint Test Action Group (JTAG)

11.2.1. Joint Test Action Group (JTAG) 11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök

Részletesebben

A Texas Instruments MSP430 mikrovezérlőcsalád

A Texas Instruments MSP430 mikrovezérlőcsalád 1.4.1. A Texas Instruments MSP430 mikrovezérlőcsalád A Texas Instruments MSP430-as mikrovezérlői 16 bites RISC alapú, kevert jelű (mixed signal) processzorok, melyeket ultra kis fogyasztásra tervezték.

Részletesebben

Programozható logikai vezérlő

Programozható logikai vezérlő PROGRAMABLE LOGIC CONTROLLER Programozható logikai vezérlő Vezérlés fejlődése Elektromechanikus (relés) vezérlések Huzalozott logikájú elektronikus vezérlések Számítógépes, programozható vezérlők A programozható

Részletesebben

Autóipari beágyazott rendszerek. Komponens és rendszer integráció

Autóipari beágyazott rendszerek. Komponens és rendszer integráció Autóipari beágyazott rendszerek és rendszer integráció 1 Magas szintű fejlesztési folyamat SW architektúra modellezés Modell (VFB) Magas szintű modellezés komponensek portok interfészek adattípusok meghatározása

Részletesebben

Arduino bevezető Szenzorhálózatok és alkalmazásaik

Arduino bevezető Szenzorhálózatok és alkalmazásaik Arduino bevezető Szenzorhálózatok és alkalmazásaik VITMMA09 Okos város MSc mellékspecializáció Mi az Arduino? Nyílt hardver és szoftver platform 8 bites Atmel mikrokontroller köré építve Ökoszisztéma:

Részletesebben

Ami az Intel szerint is konvergens architektúra

Ami az Intel szerint is konvergens architektúra Copyright 2012, Intel Corporation. All rights reserved. *Other brands and names are the property of their respective owners Ami az Intel szerint is konvergens architektúra Gacsal József Business Development

Részletesebben

Dr. Schuster György október 14.

Dr. Schuster György október 14. Real-time operációs rendszerek RTOS 2011. október 14. A fordítás vázlata prog.c Előfeldolgozó Átmenti állomány Fordító prog.obj más.obj-tek könyvtárak indító kód Linker futtatható kód Ismétlés Előfeldolgozó

Részletesebben

Párhuzamos programozási platformok

Párhuzamos programozási platformok Párhuzamos programozási platformok Parallel számítógép részei Hardver Több processzor Több memória Kapcsolatot biztosító hálózat Rendszer szoftver Párhuzamos operációs rendszer Konkurenciát biztosító programozási

Részletesebben

TANÚSÍTVÁNY KARBANTARTÁS Jegyzıkönyv

TANÚSÍTVÁNY KARBANTARTÁS Jegyzıkönyv TANÚSÍTVÁNY KARBANTARTÁS Jegyzıkönyv A HUNGUARD Számítástechnikai-, informatikai kutató-fejlesztı és általános szolgáltató Kft. a 9/2005. (VII.21.) IHM rendelet alapján, mint a Magyar Köztársaság Miniszterelnöki

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

Analog- and digital hw Signal processing- and operating sw Equipment System (INTERJAM) Dr. Eged Bertalan. www.sagax.hu

Analog- and digital hw Signal processing- and operating sw Equipment System (INTERJAM) Dr. Eged Bertalan. www.sagax.hu Analog- and digital hw Signal processing- and operating sw Equipment System Integrált felderítő és s zavaró rendszer (INTERJAM) Dr. Eged Bertalan Sagax Communications Ltd., 1096 Budapest Haller u. 11-13.

Részletesebben

evosoft Hungary Kft.

evosoft Hungary Kft. 2. fejezet: Runtime Software Előadó: Petényi István - üzletágvezető ELŐADÓ: PETÉNYI ISTVÁN üzletágvezető Programozó matematikus, ELTE Informatikai Kar projektvetető, ágazatvezető, szakterületvezető, üzletág

Részletesebben

Párhuzamos programozási platformok

Párhuzamos programozási platformok Párhuzamos programozási platformok Parallel számítógép részei Hardver Több processzor Több memória Kapcsolatot biztosító hálózat Rendszer szoftver Párhuzamos operációs rendszer Konkurenciát biztosító programozási

Részletesebben

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások

8. Fejezet Processzor (CPU) és memória: tervezés, implementáció, modern megoldások 8. Fejezet Processzor (CPU) és memória: The Architecture of Computer Hardware and Systems Software: An Information Technology Approach 3rd Edition, Irv Englander John Wiley and Sons 2003 Wilson Wong, Bentley

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Szoftverfejlesztés Fehér Béla Raikovich Tamás

Részletesebben

C++ fejlesztés az ECLIPSE környezetben

C++ fejlesztés az ECLIPSE környezetben Bevezetés kr304@hszk.bme.hu UNIX, 2005. május 13. Bevezetés Tartalomjegyzék 1 Bevezetés IDE IDE eszközök 2 Célkitűzés Architektúra CDT Project Összefoglalás Bevezetés IDE IDE eszközök Integrated Development

Részletesebben

ARM Cortex magú mikrovezérlők

ARM Cortex magú mikrovezérlők ARM Cortex magú mikrovezérlők Tárgykövetelmények, tematika Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 Lehetőségek: o Hardware

Részletesebben

TEE - Adásvételi szerződés keretében informatikai kutatási eszközök és szoftverek beszerzése a Pázmány Péter Katolikus Egyetem számára

TEE - Adásvételi szerződés keretében informatikai kutatási eszközök és szoftverek beszerzése a Pázmány Péter Katolikus Egyetem számára TEE - Adásvételi szerződés keretében informatikai kutatási eszközök és szoftverek beszerzése a Pázmány Péter Katolikus Egyetem számára Közbeszerzési Értesítő száma: 2015/146 Beszerzés tárgya: Árubeszerzés

Részletesebben