Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése"

Átírás

1 Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP A/1-11/ Főkedvezményezett: Pannon Egyetem 8200 Veszprém Egyetem u. 10. Kedvezményezett: Szegedi Tudományegyetem 6720 Szeged Dugonics tér Frissítve: április 6.

2 FPGA-alapúbeágyazott rendszerektervezése Dr. Kincses Zoltán, Dr. VörösháziZsolt 5. Perifériák hozzáadása (IP adatbázisból) az összeállított beágyazott alaprendszerhez

3 Tárgyalt ismeretkörök 1. Bevezetés Beágyazott rendszerek 2. FPGA-k, Digilent Nexys-2 és ZYBO fejlesztő kártyák és eszközök 3. Beágyazott Rendszer fejlesztő szoftverkörnyezet (Xilinx EDK Embedded Development Kit/XPS Xilinx Platform Studio) áttekintése 4. Beágyazott alap tesztrendszer (BSB - Base System Builder and Board Bring-Up) összeállítása XPS-ben 5. Perifériák hozzáadása (IP adatbázisból) az összeállított beágyazott alaprendszerhez 6. Saját periféria hozzáadása az összeállított beágyazott alaprendszerhez 7. Szoftver alkalmazások fejlesztése, tesztelése, hibakeresése (debug) Xilinx SDK használatával (Software Development Kit) 8. HW-SW rendszerek együttes tesztelése(xilinx ChipScope) 9. Beágyazott Linux operációs rendszer I.: a MicroBlaze szoft-processzoron Linux rendszer beállítása és indítása 10. Beágyazott Linux operációs rendszer II.: Alkalmazás fejlesztés, tesztelés, meghajtó-programok, és boot-olás 11. Egyedi hardver szellemi termékek fejlesztése és tesztelése tesztelése (ZYBO video-audio, Nexys VGA vezérlő) 3

4 ZyBo Xilinx EDK használata IP HOZZÁADÁSA A BEÁGYAZOTT RENDSZERHEZ 4

5 Feladat XPS/EDK: Katalógusban lévő IP-k (Intellectual Property) hozzáadása az elkészült hardver rendszerhez, Az.UCF fájl módosítása a hozzáadott IP maghoz, valamint külső port-okhoz tartozó beállításokkal, A módosított.mhs fájl vizsgálata. SDK fordító beállításainak testre szabása Teszt-alkalmazás generálása, készítése a beépített SW template(sablon) alapján 5

6 A feladat megoldásának lépései Az előző (04. fólia) ismeretkör elsajátítása során létrehozott projekt archiválása (LAB01 LAB02 néven), majd pedig a\lab02 megnyitása EDK-ban Az IP katalógusból kiválasztott GPIO perifériák integrálása és összekötése az alaprendszerrel, Külső GPIO portok létrehozása(.ucf,.mhs), Az.MHS file tartalmának áttekintése, Egy Periféria teszt-alkalmazás (TestApp) készítése az SDK-környezetben, A FW+SW tervek teszt verifikálása a Digilent ZyBo kártyán 6

7 A. NYOMÓGOMBOK, DIP KAPCSOLÓK BEÁGYAZOTT RENDSZER ÉS SZOFTVER ALKALMAZÁS ÖSSZEÁLLÍTÁSA 7

8 A bővítendő tesztrendszer Memory DDR3 Memory Controller M_AXI_G P1 AXI4 AXI Interconnect Block AXI4 AXI-BRAM Controller BRAM PL RS232 I2C0 UART I2C ARM Cortex-A9 AXI4- Lite I2C PMOD_TMP2 C PS Timer M_AXI_G P0 AXI4- Lite AXI Interconnect Block AXI4- Lite AXI4- Lite AXI4- Lite LED_IP GPIO GPIO LED Push-Buttons DIP Switches B A PS oldal: ARM hard-processzor mag belső OnChip-RAM vezérlő RS232 soros interfész külső DDR3 memória vezérlő I2C vezérlő PL oldal: A: GPIO PBSs: Push Button(nyomógomb kezelő) DIPs: Switches(kapcsoló kezelő) B: GPIO LEDs: LED kijelző C: I2C vezérlős hőmérsékletmérő modul (PMOD_TMP2) ARMPS/vagyPLoldalraistehető 8

9 Korábbi projekt archiválása és megnyitása Hozzunk létre egy új mappát, legyen a neve \LAB02 Másoljuk át az előző ismeretkör elsajátításakor létrehozott projektet (azaz a \LAB01 mappa tartalmát) Indítsuk el az XPS\EDK szoftvert: Start Programok XilinxDesign Tools ISE Design Suite14.7 EDK Xilinx Platform Studio File Open Project <projectdir>/lab02/system.xmp Open 9

10 GPIO perifériák hozzáadása és összekötése az alaprendszerhez II. Új IP mag hozzáadására két lehetőség kínálkozik az XPS/EDK-ban: a.) System Assembly View használatával b.) Az.MHS fájl kézi szerkesztésével Adjunk a processzor rendszerhez két AXI_GPIO perifériát a System Assembly View segítségével 2 2x 3 1x DIP 1x PB 1 10

11 GPIO perifériák hozzáadása és összekötése az alaprendszerhez III. DIP kapcsoló sor

12 GPIO perifériák hozzáadása és összekötése az alaprendszerhez IV. PB nyomógomb sor

13 GPIO perifériák hozzáadása és összekötése az alaprendszerhez V. Ezek után egy-egy IP modulhoz (pl. AXI_GPIO) az XPS-ben a következőket kell még beállítani (AXI interfész esetén, manuális / automatikus is lehet): a.) a busz kapcsolatot az IP modul és buszrendszer (AXI) között b.) az IP modul címtartományhoz rendelését (Base-High Addresses) c.) az IP modulok I/O portjainak külső (external) portokhoz rendelése (.MHS vagy PortsViewnézetben), d.) Végül a külső portok fizikai FPGA lábakhoz rendelését (.UCF szerkesztése). 13

14 GPIO perifériák hozzáadása és összekötése az alaprendszerhez V / a. AXI busz összekapcsolása az új GPIO IP modulokkal (dip, pb). S_AXI = Slave-oldali AXI eszköz (IP)

15 GPIO perifériák hozzáadása és összekötése az alaprendszerhez V / b. Memória címtartományok beállítása Addresses nézet kiválasztása Map -eletlen IP perifériák memória-címtartományhoz rendelése: a.) automatikusan- címgenerálással vs. b.) manuálisan 1 *Címeknek 2^n méretűnek kell lennie és nem lapolódhatnak át! 2 15

16 GPIO perifériák hozzáadása és összekötése az alaprendszerhez V/ c. - Külső portok hozzárendelése A dip és pb nevű GPIO példányokat hozzá kell kapcsolni a ZyBo kártyán található (dip) kapcsolókhoz és(pb) nyomógombokhoz: 1.) A GPIO példányok adat port-jait a külső fizikai FPGA lábakra(pin) is kell kötni, 2.) Az <system>.ucf fájl-ban meg kell adni az adott FPGA pin azonosítóját. Ezt a feladatot a következőképpen oldhatjuk meg: System Assembly View-> Port fül megnyitása dip (IO_IF) gpio_0, valamint pb (IO_IF) gpio_0 megnyitása

17 GPIO perifériák hozzáadása és összekötése az alaprendszerhez V/ d. - CLK portok hozzárendelése 17

18 MHS file: port-ok vizsgálata 18

19 GPIO összeköttetés külső porthoz rendelése II. Módosítani kell az.ucf file-t (\data\system.ucf alapértelmezett)

20 DRC ellenőrzés futtatása, bitstream generálás Project menü Design RuleCheck Módosított, vagy nem BSB-bőlgenerált beágyazott rendszer esetén érdemes DRC (Design RuleChecking) ellenőrzést is futtatni. Kiszűri az esetleges elkötéseket, hibákat, Figyelmeztető (warning) jellegű üzenetek megengedettek (szintetizálható a terv), Legtöbb lebegő (floating) vezetékkel sem kell foglalkozni (pl. Peripheral Reset, FSL_x, stb). Ezután indítható el a Netlista, ill. Bitstream generálás. 20

21 Xilinx EDK használata MHS LEÍRÓ VIZSGÁLATA 21

22 A módosított MHS file áttekintése 1.) Kérdés(portok) Külső PL oldali portok száma? Külső PL oldali portok száma(amelyek kimenetek)? Külső PL oldali portok száma(amelyek bemenetek)? Külső PL oldali portok száma(melyek kétirányúak)? Milyen a tárolási formátuma ezeknek a több-bites külső portoknak (endianitás)? 2.) Kérdés(buszok, belső jelek) Mely IP periféria példányok processing_system7_0_fclk_clk0 nevű órajelhez? kapcsolódnak a Mekkora ez az órajel? Mely IP periféria példányok kapcsolódnak az axi4lite_0 nevű AXI Lite busz interfészhez? 3.) Kérdés(címek) Vázolja fel a rendszer teljes memória térképét a példánynevek megadásával! 22

23 A módosított MHS file áttekintése 1.) Kérdés Megoldás(.MHS fájl alapján) 1 23

24 A módosított MHS file áttekintése 2.) Kérdés Megoldás(.MHS file alapján) Mely IP periféria példányokhoz processing_system7_0_fclk_clk0 órajel kapcsolódik a axi4lite_0 processing_system7 LEDs_4Bits dip pb processing_system7_0_fclk_clk0 órajel 100 MHz Mely IP periféria példányok kapcsolódnak az axi4lite_0 nevű AXI buszrendszerhez processing_system7 LEDs_4Bits dip pb 24

25 A módosított MHS file áttekintése 3.) Kérdés - Megoldás (System Assembly View Addresses) 0x0000_0000 0x1fff_ffff DDR_RAM 512M Alacsony címtartomány 0x2000_0000 0x411f_ffff Nem használt PL oldali perifériák 0x4120_0000-0x4120_FFFF 0x4122_0000-0x4122_FFFF 0x4124_0000-0x4124_FFFF dip LEDs_4Bits pb 0x4125_0000 0xE010_0000 PS-7 (UART, USB, GPIO, ENET, SDIO) 0xE010_0FFF-0xFFFF_FFFF Nem használt vagy fenntartott Magas címtartomány 25

26 Xilinx SDK SZOFTVER TESZT ALKALMAZÁS ÖSSZEÁLLÍTÁSA 26

27 Tesztalkalmazás (TestApp) készítése I. 1.) SDK elindítása (EDK-ból): Project Export Hardware Design to SDK 2.) Kattintsunk az Export& Launch SDK gombra Ha még nincs elkészítve az új rendszerhez tartozó netlista és bitstream, akkor ez automatikusan legenerálódik mielőtt az SDK elindulna 3.) A Select a workspace ablakban válasszuk ki a <project_dir>/lab02/sdk/sdk_export majd kattintsunk az OK-ra 4.) Az SDK-ban: File New Xilinx Board Support Package kattintsunk a Finish-re. Új BSP neve standalone_bsp_0 lehet 27

28 Tesztalkalmazás (TestApp) készítése II. Software Platform Settings(korábbi rendszerekben még az EDK része volt!) Operációs rendszer kiválasztása: standalone vs. xilkernel(esetleg 3rd Party OS) Rendelkezésre álló könyvtári függvények (lib) kiválasztása 28

29 Tesztalkalmazás (TestApp) készítése III. Új projekt alkalmazás létrehozása (Xilinx Application Project)

30 Tesztalkalmazás (TestApp) készítése IV

31 Tesztalkalmazás (TestApp) készítése V. Új C forrás fájl létrehozása/hozzáadása (lab2.c):

32 Tesztalkalmazás (TestApp) készítése VI. Forrás kód megírása (vagy hozzáadása): BER_lab2_main_TestApp.zip 32

33 Tesztalkalmazás (TestApp) készítése VII. Fordító beállítása Jobb kattintás a TestApp-ra C/C++ Build Settings Mivel a programunkban van egy for() ciklus is, mely a késleltetésért felel, és nem szeretnénk, hogy a fordító kioptimalizálja, ezért kapcsoljuk ki az optimalizálást( O0) = None szintűre. 33

34 Tesztalkalmazás (TestApp) készítése VIII. Linker Script létrehozása Jobb kattintás a TestApp-ra Generate Linker Script

35 Beágyazott rendszer és szoftver teszt-verifikációja I. A JTAG-USB programozó (USB-soros) kábel csatlakoztatása a számítógéphez és a kártyához, Debugkonfiguráció: a Consol-raaz USB soros port beállítása (COMx), majd Csatlakozás Digilent ZyBo kártya bekapcsolása, FPGA tartalom letöltése Xilinx Tools Program FPGA (.bit fájl kiválasztása)

36 Beágyazott rendszer és szoftver teszt-verifikációja I

37 Beágyazott rendszer és szoftver teszt-verifikációja II. Kapcsolók (dip), és nyomógombok (push) működésének verifikációja (Peripheral_test template alapján készült) Tapasztalat? LITTLE ENDIAN! * A példában while(1) ciklus van használva a folyamatos lekérdezéshez! 37

38 Összefoglalás Az előző (4.) ismeretkörben létrehozott ARM-AXI alap-rendszerhez hozzáadtunk két új GPIO perifériát az IP katalógusból. A perifériákat a megfelelő módon konfiguráltuk, és hozzákapcsoltuk az FPGA külső lábaihoz(pin). Ezután analizáltuk a módosított.mhs fájlt. Az.UCF fájlt kiegészítettük a ZyBo kártyán lévő DIP kapcsolók és PUSH nyomógombok bekötésének megfelelően. Végül verifikáltuk az elkészült rendszert és a SW alkalmazás működését(periféria Teszt). 38

39 B. LED vezérlő BEÁGYAZOTT RENDSZER ÉS SZOFTVER ALKALMAZÁS ÖSSZEÁLLÍTÁSA 39

40 B.) A bővítendő tesztrendszer Memory DDR3 Memory Controller M_AXI_G P1 AXI4 AXI Interconnect Block AXI4 AXI-BRAM Controller BRAM PL RS232 I2C0 UART I2C ARM Cortex-A9 AXI4- Lite I2C PMOD_TMP2 C PS Timer M_AXI_G P0 AXI4- Lite AXI Interconnect Block AXI4- Lite AXI4- Lite AXI4- Lite LED_IP GPIO GPIO LED Push-Buttons DIP Switches B A PS oldal: ARM hard-processzor mag belső OnChip-RAM vezérlő RS232 soros interfész külső DDR3 memória vezérlő I2C vezérlő PL oldal: A: GPIO PBSs: Push Button(nyomógomb kezelő) DIPs: Switches(kapcsoló kezelő) B: GPIO LEDs: LED kijelző v4 C: I2C vezérlős hőmérsékletmérő modul (PMOD_TMP2) v5 ARMPS/vagyPLoldalraistehető 40

41 Slide 40 v4 v5 LAB02_B voroshazi, 29/03/2017 LAB02C voroshazi, 29/03/2017

42 Példa 1: LED vezérlő Lépések: A LAB02 ismeretkör elsajátítása során létrehozott projekt archiválása, átmásolása (LAB02_B néven), majd a Xilinx EDK-ban megnyitása LED vezérlő: az IP katalógusból kiválasztott GPIO periféria integrálása és összekötése az alaprendszerrel (4-bites, mivel 4 LED), IP példány neve legyen LEDs_4Bits. Output 4 bit. Base Addr: 0x4122_0000 (méret: 64 K) Külső GPIO LED portok létrehozása(.ucf,.mhs), Az.MHS file tartalmának áttekintése, Egy Periféria teszt-alkalmazás (TestApp) készítése a Xilinx SDK-környezetben(template-ből generálható), A FW-SW tervek teszt verifikálása a ZyBo kártyára. 41

43 Példa 2.) LED-esszámláló Módosítsa az előző Példa 1.) szereplő Periféria Teszt SW alkalmazását úgy, hogy a LED-eket egy 4- bites számláló értékének növelésével egymás után villantsa fel. Segítség: BER_lab2b_led8bit_count.zip Használja a beépített pl. u8 adattípust Mivel sys_clk = 100 MHz, késleltesse a LED-ek fel- /le-villanását (pl. for() ciklussal), úgy hogy a felvillanások ideje érzékelhető legyen. Xparameters.hfilebóla makrók használata, fordítási hiba esetén (pl. redefine) LED_DELAY, GPIO_BITWIDTH beállítása! 42

44 Példa 3.) LED-es fényfüzér Módosítsa az előző Példa 2.) szereplő Periféria Teszt SWalkalmazását úgy, hogy a LED-ek értékét mindig egy pozícióval shiftelibalra (növekvő bináris súlyú számláló) BER_lab2b_led8bit_shift.zip 43

45 Példa 4.) LED-es fényfüzér KnightRider Módosítsa az előző Példa 3.) szereplő Periféria Teszt SWalkalmazását úgy, hogy a LED-ek értékét mindig egy pozícióval shift-elibalra, majd amikor eléri a végértéket visszafelé, jobbra shift-eli(növekvő, illetve csökkenő bináris súlyú számláló BER_lab2b_led8bit_knightrider.zip 44

46 C. PMOD_TMP hőmérséklet mérő (I2C vezérlő) BEÁGYAZOTT RENDSZER ÉS SZOFTVER ALKALMAZÁS ÖSSZEÁLLÍTÁSA 45

47 C. A bővítendő tesztrendszer Memory DDR3 Memory Controller M_AXI_G P1 AXI4 AXI Interconnect Block AXI4 AXI-BRAM Controller BRAM PL RS232 I2C0 UART I2C ARM Cortex-A9 AXI4- Lite I2C PMOD_TMP2 C PS Timer M_AXI_G P0 AXI4- Lite AXI Interconnect Block AXI4- Lite AXI4- Lite AXI4- Lite LED_IP GPIO GPIO LED Push-Buttons DIP Switches B A PS oldal: ARM hard-processzor mag belső OnChip-RAM vezérlő RS232 soros interfész külső DDR3 memória vezérlő I2C vezérlő PL oldal: A: GPIO PBSs: Push Button(nyomógomb kezelő) DIPs: Switches(kapcsoló kezelő) B: GPIO LEDs: LED kijelző C: I2C vezérlős hőmérsékletmérő modul (PMOD_TMP2) ARMPS/vagyPLoldalraistehető 46

48 Irodalmi háttér DigilentPMOD TMP2 hőmérséklet szenzor modul (I2C): Analog Devices ADT7420 hőmérséklet érzékelő IC: Analog Devices Digilent közös Wiki oldala: Referencia terv FPGA-ra(SW driver-ekkel)! I 2 C szabványról általánosan: Fodor Attila, Dr. VörösháziZsolt: Beágyazott rendszerek, TÁMOP (PE MIK, Villamosmérnöki és Információs Rendszerek Tanszék) I2C Wikipedia: 47

49 ADT 7420 Az IC blokkszintű kapcsolási rajza: PMOD_TMP2 jelei / választható I 2 C címek: 48

50 DigilentPMOD_TMP2 I 2 C alapú hőmérséklet érzékelő és hőfokszabályzó periféria modul T A = 40 C +150 C, max. 16-bit felbontásig skálázható, Átlagos pontossága jobb, mint 0.25 C, 13 = 9+4 bites módban: 1/2 4 = C, 16 = 9+7 bites módban: 1/2 7 = C, I 2 C interfész,4 választható (jumper) I 2 C címmel (A 1 -A 0 ), Folyamatos konverzió 240ms-ként, Programozhatóküszöbértékek (max/min -CT), külső lábak, mint threshold(int), 3.3Vvagy 5V interfész támogatás, Kalibrálást nem igényel! 49

51 I 2 C vezérlő hozzáadása és összekötése az alaprendszerrel I. Új IP mag hozzáadására két lehetőség kínálkozik az XPS/EDK-ban: a.) System Assembly View használatával b.) Az.MHS fájl kézi szerkesztésével Adjunk a processzor rendszerhez két AXI_IIC Interfacenevű IP perifériát a System Assembly View segítségével (IP katalógusból) Megjegyzés: IIC sok helyen I 2 C vezérlőként szerepel (= InterIC kommunikáció)

52 Philips I 2 C szabványról (1982) Forrás: 1.Data Transfer is initiated with a START bit (S) signaledby SDA being pulled low while SCL stays high. 2.SDA sets the 1st data bit level while keeping SCL low (during bluebar time). 3.The data is sampled (received) when SCL rises (green) for the first bit (B1). 4.This process repeats, SDA transitioning untilscl is lowagain, and the data being read while SCL is high (B2, Bn). 5.A STOP bit (P) is signaledwhen SDA is pulled Master write(0) to or read(1) from the Slave high while SCL is high. 51

53 I 2 C vezérlő hozzáadása és összekötése az alaprendszerhez II

54 I 2 C vezérlő hozzáadása és összekötése az alaprendszerhez III. Ezek után egy-egy IP modulhoz (pl. AXI_IIC) az XPS-ben a következőket kell még beállítani (kivétel AXI interfész esetén, ahol ez automatikus): a.) A busz kapcsolatot az IP modul és buszrendszer (AXI) között b.) Az IP modul címtartományhoz rendelését (Base-High Addresses) c.) Az IP modulok I/O portjainak külső (external) portokhoz rendelése (.MHS vagy PortsView), d.) Végül a külső portok fizikai FPGA lábakhoz rendelését (.UCF szerkesztése) 53

55 I 2 C vezérlő hozzáadása és összekötése az alaprendszerhez IV. / a. AXI Liteinterfész összekapcsolása az I2C IP modulokkal. S_AXI = AXI Slave-oldali eszköz. 54

56 I 2 C vezérlő hozzáadása és összekötése az alaprendszerhez IV. / b. Memória címtartományok beállítása Addresses nézet kiválasztása Map -eletlen IP perifériák memória-címtartományhoz rendelése: a.) automatikusan- címgenerálással - DE előtte lock!(címek, amiket rögzíteni akarunk) b.) manuálisan. 1 *Címeknek 2^n méretűnek kell lennie és nem lapolódhatnak át! 2 55

57 I 2 C vezérlő összekötése az alaprendszerhez IV./ c. - Külső portok hozzárendelése A axi_iic_0 példányt hozzá kell kapcsolni az ZyBo kártyán található JE 3/JE 4 PMOD kivezetésekhez 1.)AI 2 CpéldánySDA/SCLjeleita külsőfizikaipllábra(pin)-rakellkapcsolni 2.)Az.UCFfájl-banmegkelladniazadottPLoldalipinazonosítóját Ezt a feladatot a következőképpen oldhatjuk meg System Assembly View-> Port fül megnyitása Órajele: FCLK_CLK0 Portjai: axi_iic_0 (IO_IF) iic_0 megnyitása(sda, Scl portok bekötései) Alapbeállítás 56

58 ZyBoPMOD konnektorok SDA SCL 57

59 I 2 CösszekötetéskülsőporthozrendeléseII. Módosítani kell az.ucf file-t (\data\system.ucf alapértelmezett) 1 2 ZYBO_RM_B_V6.pdf 58

60 DRC ellenőrzés futtatása, bitstream generálás Project menü Design RuleCheck Módosított, vagy nem BSB-bőlgenerált beágyazott rendszer esetén érdemes DRC (Design RuleChecking) ellenőrzést is futtatni. Kiszűri az esetleges elkötéseket, hibákat Figyelmeztető (warning) jellegű üzenetek megengedettek (szintetizálható a terv) Legtöbb lebegő (floating) vezetékkel sem kell foglalkozni (pl. Peripheral Reset, FSL_x stb.) Ezután indítható el a Netlista, ill. Bitstream generálás. 59

61 Xilinx SDK SZOFTVER TESZT ALKALMAZÁS ÖSSZEÁLLÍTÁSA (PMOD_TMP2) 60

62 Tesztalkalmazás (TestApp) készítése I. 1.) SDK elindítása (EDK-ból): Project Export Hardware Design to SDK 2.) Kattintsunk az Export& Launch SDK gombra Ha még nincs elkészítve az új rendszerhez tartozó netlista és bitstream, akkor ez automatikusan legenerálódik mielőtt az SDK elindulna 3.) A Select a workspace ablakban válaszuk ki a <projectdir>/lab02_c/sdk/sdk_export majd kattintsunk az OK-ra 4.) Az SDK-ban: File New Board Support Package kattintsunk a Finish-re 61

63 Tesztalkalmazás (TestApp) készítése II./a Software Platform Settings(korábbi rendszerekben még az EDK része volt!) Operációs rendszer kiválasztása: standalone vs. kernel(esetleg 3rd Party OS) Rendelkezésre álló könyvtári függvények (lib) kiválasztása 62

64 Tesztalkalmazás (TestApp) készítése II./b 63

65 Tesztalkalmazás (TestApp) készítése III. 1 Új projekt alkalmazás létrehozása (Xilinx Application Project)

66 Tesztalkalmazás (TestApp) készítése IV

67 Tesztalkalmazás (TestApp) készítése V. Új forrás fájl létrehozása/hozzáadása: 1 2 Analog Devices BER_PmodTMP2_DriverFiles.zip* -ből hozzáadni: - ADT7420.c /.h - I2c.c /.h - Main.c * Linker script generálása (.ld): OnChip RAM vs. külső MEM. SW alkalmazás fordítása (.elf) Tesztverifikáció: bitfile generálás + tesztelés FPGA-n. *Megjegyzés: az i2c.c és i2.h fájlok az AnalogDevicestámogató csomagjából lettek felhasználva. Alternatív megoldásként a Xilinx iic.c és iic.h fájlokat is használhatjuk: Lásd: <Xilinx install_dir>/ise_ds/edk/sw/xilinxprocessoriplib/drivers/iic_vx_yz_a/doc/html/api/index.html v1 66

68 Slide 66 v1 voroshazi, 11/03/2015

69 Tesztalkalmazás (TestApp) készítése VI. Lehetséges fordítási hibák: Átdefineolni(ADT7420.h fileban): XPAR_AXI_IIC_1_BASEADDR #define I2C_BASEADDR XPAR_AXI_IIC_0_BASEADDR Lásd korábban: bsp/include/ xparameters.h 67

70 Teszt verifikáció I. DigilentPMOD_TMP2 hőmérséklet mérő kártya DigilentZyBokártyához csatlakoztatása, Standard JE PMOD foglalat felső sorának 3-4-es lábaira (SCL SDA) PMOD_TMP2-es kártyán a JP1/JP2 jumperek nincsenek rövidre zárva (A0/A1 címek) A JTAG-USB programozó/táp (USB-soros port) csatlakoztatása a kártyához és a számítógéphez, Digilent ZyBo kártya bekapcsolása, Debugkonfiguráció: A Consol-raaz USB soros port beállítása (COMx), majd Csatlakozás Xilinx Tools Program FPGA.bit fájl kiválasztása, FPGA programozása, Debug konfiguráció: környezet beállítása és indítása. 68

71 Teszt verifikáció II. A forráskódból a következő rész felel az aktuális hőmérséklet kiíratásáért: Display_Temp(ADT7420_ReadTemp()); 69

72 Teszt verifikáció III. Kártya helyes csatlakoztatása PMOD JE-re. SDA SCL 70

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Vivado fejlesztői környezet Fehér Béla Raikovich

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben

Silabs STK3700, Simplicity Studio laborgyakorlat

Silabs STK3700, Simplicity Studio laborgyakorlat Silabs STK3700, Simplicity Studio laborgyakorlat Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 Saját Firmware library Saját

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

Témakiírások 2014/15. őszi félévben

Témakiírások 2014/15. őszi félévben Témakiírások 2014/15. őszi félévben Témavezető: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu voroshazi.zsolt@virt.uni-pannon.hu Veszprém, 2014. szeptember 9. Témaismertetés #1 National Instruments - LabView

Részletesebben

2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved

2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved EDK áttekintés 2004 Xilinx, Inc. All Rights Reserved Beágyazott rendszerek Beágyazott rendszereknek azokat a számítástechnikai eszközöket nevezzük, melyekre igazak a következő megállapítások: Dedikált

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

TI TMDSEVM6472 rövid bemutatása

TI TMDSEVM6472 rövid bemutatása 6.6.1. Linux futtatása TMDSEVM6472 eszközön TI TMDSEVM6472 rövid bemutatása A TMDSEVM6472 az alábbi fő hardver paraméterekkel rendelkezik: 1db fix pontos, több magos (6 C64x+ mag) C6472 DSP 700MHz 256MB

Részletesebben

A LOGSYS rendszer ismertetése

A LOGSYS rendszer ismertetése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS rendszer ismertetése Raikovich Tamás BME MIT atórium A LOGSYS

Részletesebben

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

A ChipScope logikai analizátor

A ChipScope logikai analizátor A ChipScope egy, az FPGA tervbe integrálható logikai analizátor, amely az FPGA terv belső jeleinek vizsgálatára használható Előnye a normál logikai analizátorhoz képest Az igényeknek megfelelően konfigurálható

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

6.2. TMS320C64x és TMS320C67xx DSP használata

6.2. TMS320C64x és TMS320C67xx DSP használata 6.2. TMS320C64x és TMS320C67xx DSP használata 6.2.1. bemutatása TI Davinci DM6446 EVM rövid A Davinci DM6446 EVM az alábbi fő hardver paraméterekkel rendelkezik: 1db ARM 9 CPU (ARM926EJ) 1db C64x DSP 4MB

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

Beágyazott rendszerek fejlesztése laboratórium DSP fejlesztési technológiák

Beágyazott rendszerek fejlesztése laboratórium DSP fejlesztési technológiák BME-MIT Beágyazott rendszerek fejlesztése laboratórium DSP fejlesztési technológiák Bevezető mérési feladatok a Beágyazott operációs rendszer alkalmazása jelfeldolgozó processzoron című altémához Orosz

Részletesebben

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191 SIOUX-RELÉ Sioux relé modul telepítési leírás Szerkesztés 1.2 20MACIE0191 1 Leírás 1.1 Leírás A Sioux-relé egy soros modul, amely tartalmaz egy master kártyát, amely maximum két slave kártyával bővíthető.

Részletesebben

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA 3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA Az FPGA tervezésben való jártasság megszerzésének célszerű módja, hogy gyári fejlesztőlapot alkalmazzunk. Ezek kiválóan alkalmasak tanulásra, de egyes ipari tervezésekhez

Részletesebben

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek MSP430 programozás Energia környezetben Kitekintés, további lehetőségek 1 Még nem merítettünk ki minden lehetőséget Kapacitív érzékelés (nyomógombok vagy csúszka) Az Energia egyelőre nem támogatja, csak

Részletesebben

2008. október 9. Verzió 1.0. http://logsys.hu

2008. október 9. Verzió 1.0. http://logsys.hu LOGSYS SPARTAN 3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2008. október 9. Verzió 1.0 http://logsys.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH memória...

Részletesebben

Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán

Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán A QGIS program GPS eszközök modulja segítségével kétirányú kommunikációt folytathatunk a navigációs GPS vevőnkkel.

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. GY1.1 SENSACT0 PÉLDAPROGRAM

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. GY1.1 SENSACT0 PÉLDAPROGRAM ÉRZÉKELŐK ÉS BEAVATKOZÓK I. GY1.1 SENSACT0 PÉLDAPROGRAM Dr. Soumelidis Alexandros 2018.09.06. BME KÖZLEKEDÉSMÉRNÖKI ÉS JÁRMŰMÉRNÖKI KAR 32708-2/2017/INTFIN SZÁMÚ EMMI ÁLTAL TÁMOGATOTT TANANYAG SensAct0

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja

Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja Dr. Vörösházi Zsolt: Beágyazott rendszerek fejlesztése (FPGA) A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett: Pannon Egyetem 8200

Részletesebben

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 19. Verzió 1.2. http://logsys.mit.bme.hu LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 19. Verzió 1.2 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros

Részletesebben

Easton420. Automata Telefon hangrögzítő. V 6.0 Telepítése Windows XP rendszerre

Easton420. Automata Telefon hangrögzítő. V 6.0 Telepítése Windows XP rendszerre Easton420 Automata Telefon hangrögzítő V 6.0 Telepítése Windows XP rendszerre A mellékelt telepítő CD-t helyezze a számítógép lemez olvasó egységbe, várja meg az automatikus indítási képernyőt. Majd válassza

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Debug lehetőségek Fehér Béla Raikovich Tamás

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal

Részletesebben

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Digitális technika VIMIAA01 9. hét

Digitális technika VIMIAA01 9. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges

Részletesebben

Autóipari beágyazott rendszerek. Komponens és rendszer integráció

Autóipari beágyazott rendszerek. Komponens és rendszer integráció Autóipari beágyazott rendszerek és rendszer integráció 1 Magas szintű fejlesztési folyamat SW architektúra modellezés Modell (VFB) Magas szintű modellezés komponensek portok interfészek adattípusok meghatározása

Részletesebben

KINCO PLC és HMI, frekvenciaváltó árlista

KINCO PLC és HMI, frekvenciaváltó árlista K2 PLC család K5 PLC család MT univerzális kijelző CV frekvenciaváltó Viszonteladói árlista Érvényes: 2016. májustól KINCO PLC és HMI, frekvenciaváltó árlista : +36 1 236 0427 +36 1 236 0428 Fax: +36 1

Részletesebben

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap IDAXA-PiroSTOP PIRINT PiroFlex Interfész Terméklap Hexium Kft. PIRINT Terméklap Rev 2 2 Tartalomjegyzék. ISMERTETŐ... 3 2. HARDVER... 4 2. LED... 5 2.2 KAPCSOLAT A VKGY GYŰRŰVEL... 6 2.3 CÍMBEÁLLÍTÁS...

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal Mérő- és vezérlőberendezés megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. július 18. A mérőberendezés felhasználási

Részletesebben

AVR-Stamp1.0F_USB Leírás, használati útmutató. Rev.B

AVR-Stamp1.0F_USB Leírás, használati útmutató. Rev.B AVR-Stamp1.0F_USB Leírás, használati útmutató. Rev.B A Stamp1.0F_USB egy olyan panel, ami kettős célt szolgál. Egyrészről, kialakításából adódóan alkalmas tanuló, fejlesztő eszköznek, másrészről kész berendezésbe

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

The modular mitmót system. DPY kijelző kártya C API

The modular mitmót system. DPY kijelző kártya C API The modular mitmót system DPY kijelző kártya C API Dokumentációkód: -D 01.0.0.0 Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs Rendszerek Tanszék Beágyazott Információs Rendszerek

Részletesebben

Telepítési útmutató a Solid Edge ST7-es verziójához Solid Edge

Telepítési útmutató a Solid Edge ST7-es verziójához Solid Edge Telepítési útmutató a Solid Edge ST7-es verziójához Solid Edge Tartalomjegyzék Bevezetés 2 Szükséges hardver és szoftver konfiguráció 3 Testreszabások lementése előző Solid Edge verzióból 4 Előző Solid

Részletesebben

KINCO árlista. Viszonteladói árlista. K2 PLC család K5 PLC család MT,GL univerzális kijelzők CV frekvenciaváltók PS tápegységek

KINCO árlista. Viszonteladói árlista. K2 PLC család K5 PLC család MT,GL univerzális kijelzők CV frekvenciaváltók PS tápegységek K2 PLC család K5 PLC család MT,GL univerzális kijelzők CV frekvenciaváltók PS tápegységek Viszonteladói árlista Érvényes: 2018. novembertől KINCO árlista +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430

Részletesebben

Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares

Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares VARGA Máté 1, PÓGÁR István 2, VÉGH János 1 Programtervező informatikus BSc szakos hallgató 2 Programtervező informatikus MSc

Részletesebben

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya The modular mitmót system 433, 868MHz-es ISM sávú rádiós kártya Kártyakód: COM-R04-S-01b Felhasználói dokumentáció Dokumentációkód: -D01a Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és

Részletesebben

Satel ETHM-1. Ethernet modul. www.riasztobolt.hu

Satel ETHM-1. Ethernet modul. www.riasztobolt.hu Satel ETHM-1 Ethernet modul Az ETHM-1 Ethernet modul egy TCP/IP szerver. A modul felépítése az 1. ábrán látható: 1. ábra. Az Ethernet modul felépítése 1 RS-232 port lehetővé teszi a modul csatlakoztatását

Részletesebben

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt.

Multi-20 modul. Felhasználói dokumentáció 1.1. Készítette: Parrag László. Jóváhagyta: Rubin Informatikai Zrt. Multi-20 modul Felhasználói dokumentáció. Készítette: Parrag László Jóváhagyta: Rubin Informatikai Zrt. 49 Budapest, Egressy út 7-2. telefon: +36 469 4020; fax: +36 469 4029 e-mail: info@rubin.hu; web:

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

Thermo1 Graph. Felhasználói segédlet

Thermo1 Graph. Felhasználói segédlet Thermo1 Graph Felhasználói segédlet A Thermo Graph program a GIPEN Thermo eszközök Windows operációs rendszeren működő grafikus monitorozó programja. A program a telepítést követően azonnal használható.

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

evosoft Hungary Kft.

evosoft Hungary Kft. 2. fejezet: Runtime Software Előadó: Petényi István - üzletágvezető ELŐADÓ: PETÉNYI ISTVÁN üzletágvezető Programozó matematikus, ELTE Informatikai Kar projektvetető, ágazatvezető, szakterületvezető, üzletág

Részletesebben

Autóipari beágyazott rendszerek CAN hardver

Autóipari beágyazott rendszerek CAN hardver Scherer Balázs, Tóth Csaba: Autóipari beágyazott rendszerek CAN hardver Előadásvázlat Kézirat Csak belső használatra! 2012.02.19. SchB, TCs BME MIT 2012. Csak belső használatra! Autóipari beágyazott rendszerek

Részletesebben

Szárazföldi autonóm mobil robotok vezérlőrendszerének kialakítási lehetőségei. Kucsera Péter ZMNE Doktorandusz

Szárazföldi autonóm mobil robotok vezérlőrendszerének kialakítási lehetőségei. Kucsera Péter ZMNE Doktorandusz Szárazföldi autonóm mobil robotok vezérlőrendszerének kialakítási lehetőségei. Kucsera Péter ZMNE Doktorandusz A mobil robot vezérlőrendszerének feladatai Elvégzendő feladat Kommunikáció Vezérlő rendszer

Részletesebben

ems2.cp04d [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL

ems2.cp04d [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL [18010] Keriterv Mérnök Kft Programozható Automatikai állomás 14 multifunkcionális bemenet, 6 relé kimenet, 4 analóg kimenet DIGICONTROL ems2.cp04d Felhasználás Az ems2.cp04d egy szabadon programozható

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

Microblaze Micro Controller

Microblaze Micro Controller Microblaze MCS 2004 Xilinx, Inc. All Rights Reserved Microblaze Micro Controller Tulajdonságok MicroBlaze processzor LMB BRAM memória MicroBlaze Debug Module (MDM) LMB buszra illesztett IO modulok Külső

Részletesebben

Érzékelők és beavatkozók I.

Érzékelők és beavatkozók I. Érzékelők és beavatkozók I. Mikrovezérlők, mikroszámítógépek: 32-bites ARM Cortex architektúra c. egyetemi tanár - 1 - ARM ARM architektúrájú processzorok ARM Advanced RISC Machine RISC Reduced Instruction

Részletesebben

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal

Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Laboratóriumi műszerek megvalósítása ARM alapú mikrovezérlővel és Linux-szal Fuszenecker Róbert Budapesti Műszaki Főiskola Kandó Kálmán Műszaki Főiskolai Kar 2007. október 17. Laboratóriumi berendezések

Részletesebben

Mobil Informatikai Rendszerek

Mobil Informatikai Rendszerek Mobil Informatikai Rendszerek Android NDK Native Development Kit Sicz-Mesziár János sicz-mesziar.janos@nik.uni-obuda.hu Mezei József mezei.jozsef@nik.uni-obuda.hu 2018. április 22. NDK Native Development

Részletesebben

3. Gyors útmutató 4. Garanciakártya

3. Gyors útmutató 4. Garanciakártya A csomag tartalma 1. Prestigio webkamera főegység 2. Alkalmazás szoftver CD Használatvétel 3. Gyors útmutató 4. Garanciakártya 1. Kapcsolja be a PC-t, vagy notebookot. 2. Dugja be az USB csatolót a PC,

Részletesebben

(1) 10/100/1000Base-T auto-sensing Ethernet port (2) 1000Base-X SFP port (3) Konzol port (4) Port LED-ek (5) Power LED (Power)

(1) 10/100/1000Base-T auto-sensing Ethernet port (2) 1000Base-X SFP port (3) Konzol port (4) Port LED-ek (5) Power LED (Power) HP 5120-24G 1.ábra Első panel (1) 10/100/1000Base-T auto-sensing Ethernet port (2) 1000Base-X SFP port (3) Konzol port (4) Port LED-ek (5) Power LED (Power) 2.ábra Hátsó panel (1) AC-input csatlakozó (2)

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

U42S Felhasználási példák Gitárjáték rögzítése

U42S Felhasználási példák Gitárjáték rögzítése U42S Felhasználási példák Gitárjáték rögzítése Az U42S gyors használatbavételéhez kövesse az itt leírtakat. Ebben a példában Cubase LE 4-et használunk, de az U42S ugyan úgy használható más hangszerkesztőkkel

Részletesebben

Elemi alkalmazások fejlesztése I.

Elemi alkalmazások fejlesztése I. Steingart Ferenc el adása alapján készítette: Szabóné Nacsa Rozália Integrált fejleszt környezet Linux MS Win* www.kdevelop.org www.bloodshed.net Bevezetés 1 A kdevelop f ablaka Editor és böngész Projektszerkezet

Részletesebben

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya

The modular mitmót system. 433, 868MHz-es ISM sávú rádiós kártya The modular mitmót system 433, 868MHz-es ISM sávú rádiós kártya Kártyakód: COM-R4-S-b Fejlesztői dokumentáció Dokumentációkód: -Da Budapesti Műszaki és Gazdaságtudományi Egyetem Méréstechnika és Információs

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Saját IP készítése, periféria illesztés Fehér

Részletesebben

A megfelelőségi nyilatkozat letölthető a www.satel.eu/ce honlapról

A megfelelőségi nyilatkozat letölthető a www.satel.eu/ce honlapról HANGMODUL INT-VG int-vg_hu 12/11 Az INTEGRA és VERSA vezérlőpanelekkel összekapcsolt INT-VG modul lehetővé teszi a hangüzenetküldés funkció végrehajtását (leváltja a CA-64 SM hangszintetizátor bővítőt).

Részletesebben

Labor 2 Mikrovezérlők

Labor 2 Mikrovezérlők Labor 2 Mikrovezérlők ATMEL AVR - ARDUINO BUDAI TAMÁS 2015. 09. 06. Tartalom Mikrovezérlők Mikrovezérlők felépítése, működése Mikrovezérlő típusok, gyártók Mikrovezérlők perifériái Mikrovezérlők programozása

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 5.5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,

Részletesebben

Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használ

Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használ Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használható: USB porttal rendelkező PC Microsoft Windows 98,

Részletesebben

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA 4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA A címben található jelölések a mikrovezérlők kimentén megjelenő tipikus perifériák, típus jelzései. Mindegyikkel röviden foglalkozni fogunk a folytatásban.

Részletesebben

KINCO PLC és HMI, frekvenciaváltó árlista

KINCO PLC és HMI, frekvenciaváltó árlista K2 PLC család K5 PLC család MT univerzális kijelző CV frekvenciaváltó Viszonteladói árlista Érvényes: 2016. májustól KINCO PLC és HMI, frekvenciaváltó árlista : +36 1 236 0427 +36 1 236 0428 Fax: +36 1

Részletesebben

Digitális technika Xilinx ISE GUI használata

Digitális technika Xilinx ISE GUI használata BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Xilinx ISE GUI használata BME MIT Fehér Béla Raikovich

Részletesebben

Netis vezeték nélküli, N típusú USB adapter

Netis vezeték nélküli, N típusú USB adapter Netis vezeték nélküli, N típusú USB adapter Gyors üzembe helyezési útmutató WF-2109, WF-2111, WF-2116, WF-2119, WF-2119S, WF-2120, WF-2123, WF-2150, WF-2151, WF-2190, WF-2503 1 A csomag tartalma A csomag,

Részletesebben

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05+ Geodéziai Feldolgozó Program

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05+ Geodéziai Feldolgozó Program A GeoEasy telepítése GeoEasy V2.05+ Geodéziai Feldolgozó Program (c)digikom Kft. 1997-2010 Tartalomjegyzék Hardver, szoftver igények GeoEasy telepítése A hardverkulcs Hálózatos hardverkulcs A GeoEasy indítása

Részletesebben

Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT. Nagyteljesítményű mikrovezérlők tantárgy [vimim342]

Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT. Nagyteljesítményű mikrovezérlők tantárgy [vimim342] Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT Nagyteljesítményű mikrovezérlők tantárgy [vimim342] 8x8x8 LED Cube Készítette: Szikra István URLJRN Tartalomjegyzék

Részletesebben

Digitális fényképezőgép Szoftver útmutató

Digitális fényképezőgép Szoftver útmutató EPSON Digitális fényképezőgép / Digitális fényképezőgép Szoftver útmutató Minden jog fenntartva. Jelen kézikönyv a SEIKO EPSON CORPORATION előzetes írásbeli engedélye nélkül sem részben sem egészben nem

Részletesebben

Készítette: Oláh István mestertanár

Készítette: Oláh István mestertanár BME Automatizálási és Alkalmazott Informatikai Tanszék Villamos laboratórium 1. PLC-k programoza sa Mérési útmutató Készítette: Oláh István mestertanár (olah.istvan@aut.bme.hu) 2014. szeptember Bevezetés

Részletesebben

Rendszerkezelési útmutató

Rendszerkezelési útmutató Rendszerkezelési útmutató Medtronic MiniMed Northridge, CA 91325 USA 800-646-4633 (800-MiniMed) 818.576.5555 www.minimed.com Képviselet az Európai Unióban: Medtronic B.V. Earl Bakkenstraat 10 6422 PJ Heerlen

Részletesebben

A fő menüpontok között a bal vagy jobb nyíllal mozoghatunk, Enter leütésére pedig megjelenik az adott menühöz tartozó tartalom.

A fő menüpontok között a bal vagy jobb nyíllal mozoghatunk, Enter leütésére pedig megjelenik az adott menühöz tartozó tartalom. AMI BIOS SETUP (ASRock 890GX, 890GM Pro3) Menü kezelése A fő menüpontok között a bal vagy jobb nyíllal mozoghatunk, Enter leütésére pedig megjelenik az adott menühöz tartozó tartalom. Menün belüli navigálás:

Részletesebben