Aritmetikai és logikai műveletek. Adattömb kezelése.

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Aritmetikai és logikai műveletek. Adattömb kezelése."

Átírás

1 6. Laborgyakorlat Aritmetikai és logikai műveletek. Adattömb kezelése. A gyakorlat célja A gyakorlatban továbbfejlesztjük a PLC programozási ismereteinket, megismerkedünk az aritmetikai és logikai műveletekkel LAD és STL programozási nyelvben. A változók strukturált tárolására alkalmasak az adattömbök. Az elemi adattömbkezelési lehetőségeket is átvesszük a gyakorlat során. Elméleti bevezető Az S7-es PLC-k háromtípusú aritmetikai műveletet tudnak kezelni, ezek az elemi adattípusoktól függnek. Ennek megfelelően van: Integer tehát szóhosszúságú adattípusokkal műveletek Double Integer - tehát két szó hosszúságú adattípusokkal műveletek Real valós számokkal végzett műveletek. Az adattípusok: Integer műveletek: ADD_I Add Integer - Összeadás SUB_I Subtract Integer - Kivonás MUL_I Multiply Integer - Szorzás DIV_I Divide Integer - Osztás

2 Dupla integer műveletek: ADD_DI Add Double Integer SUB_DI Subtract Double Integer MUL_DI Multiply Double Integer DIV_DI Divide Double Integer MOD_DI Return Fraction Double Integer Az osztás törtrésze Az alábbi példán az MW10 memóriazónát összeadja az MW12-vel. Ha az eredmény az INT határain belül marad, akkor elvégzi a szorzást is, éspedig megszorozza az MW14-et kettővel. Ha túlcsordulás van, akkor a STATUS WORD-ban az OV bit-1 re vált. Valós műveletek ADD_R Add Real összeadás SUB_R Subtract Real kivonás MUL_R Multiply Real szorzás DIV_R Divide Real osztás ABS Absolute Value abszolút érték SQR Square négyzetre emelés SQRT négyzetgyökvonás LN Natural Logarithm e alapú logaritmus EXP e alapú exponenciális SIN, ASIN sinus, arcus sinus COS, ACOS cosinus, arcus cosinus TAN, ATAN tangent, arcus tangent

3 Példa STL aritmetikai műveletek Egész tipusú műveletek: +I Add ACCU 1 and ACCU 2 as Integer (16-Bit) -I Subtract ACCU 1 from ACCU 2 as Integer (16-Bit) *I Multiply ACCU 1 and ACCU 2 as Integer (16-Bit) /I Divide ACCU 2 by ACCU 1 as Integer (16-Bit) + Add Integer Constant (16, 32 Bit) +D Add ACCU 1 and ACCU 2 as Double Integer (32-Bit) -D Subtract ACCU 1 from ACCU 2 as Double Integer (32-Bit) *D Multiply ACCU 1 and ACCU 2 as Double Integer (32-Bit) /D Divide ACCU 2 by ACCU 1 as Double Integer (32-Bit) MOD Division Remainder Double Integer (32-Bit) Ugyanazok a műveletek, mint LAD esetben, a műveleteke mindig az ACCU1 és ACCU2 között végzi a CPU. Ezért előbb az operandusokat betöltjük az ACCU-ba, majd elvégezzük a műveleteket. Példa

4 A fenti példában feltöltjük az ACCU1-et MW10-el, utána az ACCU1-et áttoljuk az ACCU2-be és az ACCU1-be betesszük az MW14-et, összeadjuk mint egész számok és az eredményt betöltjük az MW14-be. Megvizsgáljuk a túlcsordulást, ha volt akkor elugrik a program az END címkéhez, ha nem volt túlcsordulás akkor a kapott eredményt megszorozzuk 2-vel. A JC (Jump Conditional) akkor ugrik, ha a RLO 1-ben van. Hasonlóan a valós számoknál: +R Add ACCU 1 and ACCU -R Subtract ACCU 1 from ACCU 2 *R Multiply ACCU 1 and ACCU 2 /R Divide ACCU 2 by ACCU 1 ABS Absolute Value SQR Generate the Square SQRT Generate the Square Root EXP Generate the Exponential Value LN Generate the Natural Logarithm SIN Generate the Sine of Angles COS Generate the Cosine of Angles TAN Generate the Tangent of Angles ASIN Generate the Arc Sine ACOS Generate the Arc Cosine ATAN Generate the Arc Tangent Logikai műveletek A logikai műveletek két memóriazóna bitjei között végezhetők, az S7-es PLC-k szó és duplaszó hosszúságú adatok között tud logikai műveleteke elvégezni. WAND_W (Word) AND Word - És WOR_W (Word) OR Word - Vagy WXOR_W (Word) Exclusive OR Word Kizáró vagy WAND_DW (Word) AND Double Word És két szó WOR_DW (Word) OR Double Word Vagy két szó WXOR_DW (Word) Exclusive OR Double Word - Kizáró vagy két szó

5 A fenti példa beolvassa a a 124-es címtől kezdődő digitális bemeneteke és lemaszkolja az alsó négyet, tehát a többi nem érdekes ebben az esetben. Tehát az MW10-be csak az első négy bemenet kerül. Adattömb kezelés Az adattömbök strukturált memóriazónák, amit a felhasználó az adatok tárolására használ. Az adattömbök a RAM-ban bemásolódnak, ha a program futtatásánál relevánsak. Ha nem releváns akkor át kell másolni a tárolt adatokat amikor szükséges. Két típusú adattömb létezik, a megosztott (Shared DB) és az Instance DB, vagyis egy függvény saját memóriazónája. Mi most a megosztott adattömböt vizsgáljuk.

6 Amint látjuk az adattömbbe különböző adatokat tárolunk. A fenti példán van három WORD tipusú változó és egy tömb, aminek az elemei STRUCT típusúak, tehát egy struktúra. Ez egy receptet tartalmaz, amiben a program neve és a recept két összetevője van. Ebből a receptből 10-et tároltunk a DB1 adattömbbe. Az adatok megcímezése: DB1.DBX1.0 bit típusú címzés DB1.DBB1 byte típusú DB1.DBW1 word típusú DB1.DBD double word típusú Például a fenti DB1-ből kiolvasom az áramot, és átírom a DB2-be: L DB1.DBW2 T DB2.DBW10 Az adattömbök adataihoz hozzáférünk úgy is, hogy megnyithatjuk az adattömböt és utána csak a benne levő címre hivatkozunk: Feladat Töltsetek fel egy adattömbbe 10 mért értéket, ami egy 16-bites AD konverzió eredmény egyenként (az analóg bementre PIWxxx cimmel hivatkozunk, pl. PIW256). Skálázzátok át az értékeket tartományba, és az eredményt tegyétek át egy másik adattömbbe. Ha az első három digitális bemeneten a decimális 7-nek megfelelő bináris érték van, akkor generáljátok a mért értékek szinuszát és mentsétek el az eredményt.

Digitális ki-bemenetek kezelése, bitszintű műveletek

Digitális ki-bemenetek kezelése, bitszintű műveletek 4. Laborgyakorlat Digitális ki-bemenetek kezelése, bitszintű műveletek A gyakorlat célja A gyakorlat során a digitális ki-bemenetek kezelését vizsgáljuk, ezek programozását létralogika és STL programozási

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

Programozás 3. Dr. Iványi Péter

Programozás 3. Dr. Iványi Péter Programozás 3. Dr. Iványi Péter 1 Egy operandus művelet operandus operandus művelet Operátorok Két operandus operandus1 művelet operandus2 2 Aritmetikai műveletek + : összeadás -: kivonás * : szorzás /

Részletesebben

3. Laborgyakorlat. ProTool bevezető

3. Laborgyakorlat. ProTool bevezető 3. Laborgyakorlat ProTool bevezető A gyakorlat célja A standunkon lévő kezelőfelületek programozására a ProTool programot használjuk. A gyakorlat során megismerjük a kezelőfelületeknél alkalmazott általános

Részletesebben

2. Laborgyakorlat. Step7 programozási környezet bemutatása

2. Laborgyakorlat. Step7 programozási környezet bemutatása 2. Laborgyakorlat Step7 programozási környezet bemutatása A gyakorlat célja A Siemens valamint a Siemens alapokra épített PLC-k (pl. VIPA) programozására fejlesztették a Stpe7 programozási környezetet.

Részletesebben

Analogmeter & Headdisabler Verzió 1.2

Analogmeter & Headdisabler Verzió 1.2 Analogmeter & Headdisabler Verzió 1.2 Több mérõhelyes mérõ- és fejletiltó program PLC vagy PC kártya által mért analóg értékek, vagy más a PLC (PC kártya) által számlált mennyiségek alapján történõ fejletiltáshoz

Részletesebben

Egyszerű RISC CPU tervezése

Egyszerű RISC CPU tervezése IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 számítógép felépítése 1/8 számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: : Harvard struktúra : Neumann struktúra kétféle elrendezés alapvetően egyformán

Részletesebben

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók.

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók. 5. Laborgyakorlat Számláló funkciók, időzítő funkciók. A gyakorlat célja A számlálók és időzítők használata gyakori a folyamatirányításban. Gondoljunk egy futószalag indításának a késleltetésére, megállításánál

Részletesebben

C# gyorstalpaló. Készítette: Major Péter

C# gyorstalpaló. Készítette: Major Péter C# gyorstalpaló Készítette: Major Péter Adattípusok Logikai változó Egész szám (*: előjel nélküli) Lebegőponto s szám Típus Típusnév másképpen (egyenértékű) Helyigény (bit) Példa bool Boolean 8 (!) true,

Részletesebben

DSP architektúrák dspic30f család

DSP architektúrák dspic30f család DSP architektúrák dspic30f család A Microchip 2004 nyarán piacra dobta a dspic30f családot, egy 16 bites fixpontos DSC. Mivel a mikróvezérlők tantárgy keretén belül a PIC családdal már megismerkedtetek,

Részletesebben

Matematikai alapok. Dr. Iványi Péter

Matematikai alapok. Dr. Iványi Péter Matematikai alapok Dr. Iványi Péter Számok A leggyakrabban használt adat típus Egész számok Valós számok Bináris számábrázolás Kettes számrendszer Bitek: 0 és 1 Byte: 8 bit 128 64 32 16 8 4 2 1 1 1 1 1

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

Informatika 1 2. el adás: Absztrakt számítógépek

Informatika 1 2. el adás: Absztrakt számítógépek Informatika 1 2. el adás: Budapesti M szaki és Gazdaságtudományi Egyetem 2015-09-08 1 2 3 A egy M = Q, Γ, b, Σ, δ, q 0, F hetes, ahol Q az 'állapotok' nem üres halmaza, Γ a 'szalag ábécé' véges, nem üres

Részletesebben

A BECKHOFF típusú PLC használata.

A BECKHOFF típusú PLC használata. A BECKHOFF típusú PLC használata. Miskolci Egyetem 2007. 2 1. A PLC rendszer általános áttekintése. A BECKHOFF cég által kifejlesztett TwinCAT fantázianevű PLC család egy WINDOWS operációs rendszerre alapozott

Részletesebben

Mechatronika és mikroszámítógépek 2017/2018 I. félév. Bevezetés a C nyelvbe

Mechatronika és mikroszámítógépek 2017/2018 I. félév. Bevezetés a C nyelvbe Mechatronika és mikroszámítógépek 2017/2018 I. félév Bevezetés a C nyelvbe A C programozási nyelv A C egy általános célú programozási nyelv, melyet Dennis Ritchie fejlesztett ki Ken Thompson segítségével

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

Digitális technika VIMIAA01

Digitális technika VIMIAA01 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Digitális Rendszerek Számítógépek

Részletesebben

Elemi adat típusok. Méret (Bit)

Elemi adat típusok. Méret (Bit) Elemi adat típusok GLOFA PLC az alábbi adatok használatát teszi lehetővé. N o Jelölés Adat típus Méret (Bit) Tartomány 1 SINT 2 INT 3 DINT Rövid egész szám Short integer Egész szám Integer Dupla egész

Részletesebben

INFORMATIKAI ALAPISMERETEK

INFORMATIKAI ALAPISMERETEK Informatikai alapismeretek emelt szint 0911 ÉRETTSÉGI VIZSGA 2011. október 17. INFORMATIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ NEMZETI ERŐFORRÁS MINISZTÉRIUM

Részletesebben

Programozás III CSOMAGOK. Az összetartozó osztályok és interfészek egy csomagba (package) kerülnek.

Programozás III CSOMAGOK. Az összetartozó osztályok és interfészek egy csomagba (package) kerülnek. Programozás III CSOMAGOK Az összetartozó osztályok és interfészek egy csomagba (package) kerülnek. A Java is csomagok halmaza: csomagokban van a fejlesztő környezet és az osztálykönyvtárak is: rt.jar fájl

Részletesebben

Mintavételes szabályozás mikrovezérlő segítségével

Mintavételes szabályozás mikrovezérlő segítségével Automatizálási Tanszék Mintavételes szabályozás mikrovezérlő segítségével Budai Tamás budai.tamas@sze.hu http://maxwell.sze.hu/~budait Tartalom Mikrovezérlőkről röviden Programozási alapismeretek ismétlés

Részletesebben

GLOFA-GM7 PLC. LG Industrial Systems YERUHAM Kft. Programozási segédlet. Simén Gábor. LG GLOFA-GM PLC programozási segédlet 1

GLOFA-GM7 PLC. LG Industrial Systems YERUHAM Kft. Programozási segédlet. Simén Gábor. LG GLOFA-GM PLC programozási segédlet 1 LG GLOFA-GM PLC programozási segédlet 1 Tananyag LG Programozható Logikai Vezérlõ GLOFA-GM7 PLC Programozási segédlet LG Industrial Systems YERUHAM Kft Simén Gábor LG GLOFA-GM PLC programozási segédlet

Részletesebben

Analóg és digitális jelek. Az adattárolás mértékegységei. Bit. Bájt. Nagy mennyiségû adatok mérése

Analóg és digitális jelek. Az adattárolás mértékegységei. Bit. Bájt. Nagy mennyiségû adatok mérése Analóg és digitális jelek Analóg mennyiség: Értéke tetszõleges lehet. Pl.:tömeg magasság,idõ Digitális mennyiség: Csak véges sok, elõre meghatározott értéket vehet fel. Pl.: gyerekek, feleségek száma Speciális

Részletesebben

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai

Közlekedés gépjárművek elektronikája, diagnosztikája. Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai Közlekedés gépjárművek elektronikája, diagnosztikája Mikroprocesszoros technika. Memóriák, címek, alapáramkörök. A programozás alapjai TÁMOP-2.2.3-09/1-2009-0010 A Széchenyi István Térségi Integrált Szakképző

Részletesebben

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő)

Memóriák - tárak. Memória. Kapacitás Ár. Sebesség. Háttértár. (felejtő) (nem felejtő) Memóriák (felejtő) Memória Kapacitás Ár Sebesség Memóriák - tárak Háttértár (nem felejtő) Memória Vezérlő egység Központi memória Aritmetikai Logikai Egység (ALU) Regiszterek Programok Adatok Ez nélkül

Részletesebben

A STEP 7 programozás alapjai

A STEP 7 programozás alapjai SIMATIC A STEP 7 programozás alapjai (utasításlista) A legfontosabb alaputasítások ismertetése angol nyelvű STEP 7 szoftvert használóknak Ez a segédlet a SIMATIC S7-300, S7-400, C7, ET-200 IM CPU és az

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

4. Fejezet : Az egész számok (integer) ábrázolása

4. Fejezet : Az egész számok (integer) ábrázolása 4. Fejezet : Az egész számok (integer) ábrázolása The Architecture of Computer Hardware and Systems Software: An InformationTechnology Approach 3. kiadás, Irv Englander John Wiley and Sons 2003 Wilson

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik. Teszt áramkör A CPU ból és kiegészítő áramkörökből kialakított számítógépet összekötjük az FPGA kártyán lévő ki és bemeneti eszközökkel, hogy az áramkör működése tesztelhető legyen. Eszközök A kártyán

Részletesebben

Hálózati protokoll tervezése

Hálózati protokoll tervezése Hálózati protokoll tervezése A gyakorlat célja: Hálózati protokoll tervezésének a megvalósítása Elméleti bevezető: Ahhoz, hogy a hálózatba kötött gépek kommunikálni tudjanak egymással, szükség van egy

Részletesebben

Számítógép Architektúrák

Számítógép Architektúrák Számítógép Architektúrák Utasításkészlet architektúrák 2015. április 11. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tsz. ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót VHDL szimuláció Labor II. Dr. Hidvégi Timót Tervezés 1 Lefoglalt szavak abs access after alias all and architecture array assert attribute block body buffer bus case component configuration constant disconnect

Részletesebben

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK

PROGRAMOZHATÓ LOGIKAI VEZÉRLİK Misák Sándor PROGRAMOZHATÓ LOGIKAI VEZÉRLİK 4. elıadás DE TTK v.0.1 (2011.10.05.) A PROGRAMOZHATÓ VEZÉRLİK HARDVERFELÉPÍTÉSE II. 1. A PLC-k illesztése az irányítandó objektumhoz; 2. Általános ismeretek

Részletesebben

AUDIO ENGINEERING SOCIETY

AUDIO ENGINEERING SOCIETY HUNGARIAN SECTION HÍREK MAGYAR TAGOZAT Szerkeszti: dr. Takács Ferenc, Titkár 36. szám. 2002. március 26. PRO TOOLS HD Mérföldk a Digidesign történetében A Digidesign története a nyolcvanas évek közepére

Részletesebben

Pál László. Sapientia EMTE, Csíkszereda, 2014/2015

Pál László. Sapientia EMTE, Csíkszereda, 2014/2015 Objektumorientált programozás Pál László Sapientia EMTE, Csíkszereda, 2014/2015 2. ELİADÁS Visual Basic bevezetı Visual Basic.NET nyelvi elemek 2 Visual Basic.NET programozási nyelv Nyelvi elemek: Általában

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák MPC új tartalma, JMPC JMPC esetén MPC 8 alacsonyabb helyértékű bitjének és MR 8 bitjének bitenkénti vagy kapcsolata képződik MPC-ben az adatút ciklus vége felé (MR megérkezése után). Ilyenkor Addr 8 alacsonyabb

Részletesebben

1.1 Szakdolgozat témája... 2. 1.2 A Program célja... 2. 1.4 A használt technológiák ismertetése... 2. 2 A program megtervezése...

1.1 Szakdolgozat témája... 2. 1.2 A Program célja... 2. 1.4 A használt technológiák ismertetése... 2. 2 A program megtervezése... 1 Bevezető... 2 1.1 Szakdolgozat témája... 2 1.2 A Program célja... 2 1.3 Fejlesztői környezet... 2 1.4 A használt technológiák ismertetése... 2 2 A program megtervezése... 4 2.1 Az ablak kinézetének megtervezése:...

Részletesebben

Bevezetés a számítástechnikába

Bevezetés a számítástechnikába Bevezetés a számítástechnikába, Címzési módok, Assembly Fodor Attila Pannon Egyetem Műszaki Informatikai Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010. november 2/9. ú utasítás

Részletesebben

1. mérés - LabView 1

1. mérés - LabView 1 1. mérés - LabView 1 Mérést végezte: Bartha András Mérőtárs: Dobránszky Márk Mérés dátuma: 2015. február 18. Mérés helye: PPKE Információs Technológiai és Bionikai Kar A mérés célja: Ismerkedés a Labview

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerő perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport Beugró kérdések: 1. USART jelalak (TdX) felrajzolása adott paritás és adott számú STOP bit mellett egy kétjegyű hexa szám átvitelére. 2. RST7.5, TRAP és INT megszakítási bemenetek összehasonlítása tilthatóság

Részletesebben

4-1. ábra. A tipikus jelformáló áramkörök (4-17. ábra):

4-1. ábra. A tipikus jelformáló áramkörök (4-17. ábra): 3.1. A digitális kimeneti perifériák A digitális kimeneti perifériákon keresztül a számítógép a folyamat digitális jelekkel működtethető beavatkozó szervei számára kétállapotú jeleket küld ki. A beavatkozó

Részletesebben

KNX távirat nyugtázása. Épületinformatika. KNX távirat példa. KNX távirat példa

KNX távirat nyugtázása. Épületinformatika. KNX távirat példa. KNX távirat példa KNX távirat nyugtázása A vevo az adatcsomagok végén lévo keresztparitás és a távirat végén lévo hosszparitás segítségével elleno rzi, hogy a távirat helyesen érkezett-e meg. A vevo t2 ido letelte után

Részletesebben

Objektumorientált programozás C# nyelven

Objektumorientált programozás C# nyelven Objektumorientált programozás C# nyelven 1. rész Osztályok és objektumok Mezık és metódusok Konstruktor és destruktor Névterek és hatókörök Láthatósági szintek Osztály szintő tagok Beágyazott osztályok

Részletesebben

Assembly utasítások listája

Assembly utasítások listája Assembly utasítások listája Bevezetés: Ebben a segédanyagban a fontosabb assembly utasításokat szedtem össze. Az utasítások csoportosítva vannak. A fontos kategóriába azok az utasítások tartoznak, amiknek

Részletesebben

Információs Technológia

Információs Technológia Információs Technológia A C programozási nyelv (Típusok és operátorok) Fodor Attila Pannon Egyetem Műszaki Informatika Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010 szeptember

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák A mikroprogram Mic 1: 4.. ábra. 51x3 bites vezérlőtár a mikroprogramnak, MPC (MicroProgram Counter): mikroprogram utasításszámláló. MIR (MicroInstruction Register): mikroutasítás regiszter. Az adatút ciklus

Részletesebben

Feladat: Hogyan tudunk létrehozni egy olyan vector nevű tömb típust, amely egy háromdimenziós térbeli vektort reprezentál?

Feladat: Hogyan tudunk létrehozni egy olyan vector nevű tömb típust, amely egy háromdimenziós térbeli vektort reprezentál? Típus definiálás Ennek általános alakja: typedef típus név Feladat: Hogyan tudunk létrehozni egy olyan vector nevű tömb típust, amely egy háromdimenziós térbeli vektort reprezentál? typedef double vector[3];

Részletesebben

C# nyelv alapjai. Krizsán Zoltán 1. Objektumorientált programozás C# alapokon tananyag. Általános Informatikai Tanszék Miskolci Egyetem

C# nyelv alapjai. Krizsán Zoltán 1. Objektumorientált programozás C# alapokon tananyag. Általános Informatikai Tanszék Miskolci Egyetem C# nyelv alapjai Krizsán Zoltán 1 Általános Informatikai Tanszék Miskolci Egyetem Objektumorientált programozás C# alapokon tananyag Tartalom Bevezetés Lokális változó Utasítások Szójáték Why do all real

Részletesebben

Készítette: Oláh István mestertanár

Készítette: Oláh István mestertanár BME Automatizálási és Alkalmazott Informatikai Tanszék Villamos laboratórium 1. PLC-k programoza sa Mérési útmutató Készítette: Oláh István mestertanár (olah.istvan@aut.bme.hu) 2014. szeptember Bevezetés

Részletesebben

Folyamatmodell irányítása 1-2

Folyamatmodell irányítása 1-2 Tartalomjegyzék Folyamatmodell irányítása 1-2 Mérési útmutató Folyamatirányítás laboratórium Összeállította: Kovács Gábor gkovacs@iit.bme.hu Budapesti Műszaki és Gazdaságtudományi Egyetem Irányítástechnika

Részletesebben

Kvantum-kommunikáció komplexitása I.

Kvantum-kommunikáció komplexitása I. LOGO Kvantum-kommunikáció komplexitása I. Gyöngyösi László BME Villamosmérnöki és Informatikai Kar Klasszikus információ n kvantumbitben Hány klasszikus bitnyi információ nyerhető ki n kvantumbitből? Egy

Részletesebben

7.2.2. A TMS320C50 és TMS320C24x assembly programozására példák

7.2.2. A TMS320C50 és TMS320C24x assembly programozására példák 7.2.2. A TMS320C50 és TMS320C24x assembly programozására példák A TMS320C50 processzor Ez a DSP processzor az 1.3. fejezetben lett bemutatva. A TMS320C50 ##LINK: http://www.ti.com/product/tms320c50## egy

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Irányítástechnika és Informatika Tanszék. Önálló laboratórium

Budapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Irányítástechnika és Informatika Tanszék. Önálló laboratórium Budapesti Műszaki és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar Irányítástechnika és Informatika Tanszék Önálló laboratórium (BMEVIIIA355) Téma: Eaton-Moeller PLC-k alkalmazástechnikája

Részletesebben

Az időzítők és számlálók új, világszintű minőségi szabványa H5CX H7CX

Az időzítők és számlálók új, világszintű minőségi szabványa H5CX H7CX Az időzítők és számlálók új, világszintű minőségi szabványa H5CX H7CX az Ön igényeinek megfelelően Advanced Industrial Automation Az Omron a világ egyik vezető időzítő- és számlálógyártó cége, amely a

Részletesebben

52 523 03 0000 00 00 Mechatronikai műszerész Mechatronikai műszerész

52 523 03 0000 00 00 Mechatronikai műszerész Mechatronikai műszerész A 10/2007 (II. 27.) SzMM rendelettel módosított 1/2006 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

2019, Funkcionális programozás. 5. el adás. MÁRTON Gyöngyvér

2019, Funkcionális programozás. 5. el adás. MÁRTON Gyöngyvér Funkcionális programozás 5. el adás Sapientia Egyetem, Matematika-Informatika Tanszék Marosvásárhely, Románia mgyongyi@ms.sapientia.ro 2019, tavaszi félév Mir l volt szó? a Haskell kiértékelési stratégiája

Részletesebben

2. Digitális hálózatok...60

2. Digitális hálózatok...60 2 60 21 Kombinációs hálózatok61 Kombinációs feladatok logikai leírása62 Kombinációs hálózatok logikai tervezése62 22 Összetett műveletek használata66 z univerzális műveletek alkalmazása66 kizáró-vagy kapuk

Részletesebben

SZOCIÁLIS ÉS MUNKAÜGYI MINISZTÉRIUM

SZOCIÁLIS ÉS MUNKAÜGYI MINISZTÉRIUM SZOCIÁLIS ÉS MUNKAÜGYI MINISZTÉRIUM MK/HU Érvényességi idő: 2009. 10. 05. óra, perc a vizsgabefejezés szerint. Minősítő neve, beosztása: Nagy László s.k. NSZFI főigazgató Készítő szerv: Nemzeti Szakképzési

Részletesebben

Széchenyi István Szakképző Iskola

Széchenyi István Szakképző Iskola A SZAKKÖZÉPISKOLAI SZAKMACSOPORTOS ALAPOZÓ OKTATÁS EMELT SZINTŰ ISKOLAI PROGRAMJA 11-12. évolyam Érvényes a 2003-2004-es tanévtől felmenő rendszerben Átdolgozva, utolsó módosítás: 2004. április 26. Az

Részletesebben

MELLÉKLET. Iránymutatás

MELLÉKLET. Iránymutatás HU MELLÉKLET Iránymutatás az Unió által megosztott irányítás keretében finanszírozott kiadásokra vonatkozóan a közbeszerzési szabályok megsértése esetén végrehajtandó pénzügyi korrekciók megállapításáról

Részletesebben

találhatók. A memória-szervezési modell mondja meg azt, hogy miként

találhatók. A memória-szervezési modell mondja meg azt, hogy miként Memória címzési módok Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről) a program utasításai illetve egy utasítás argumentumai a memóriában találhatók. A memória-szervezési

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák NEXT ADDRESS JMPC JAMN JAMZ SLL8 SRA1 F0 F1 ENA EN INVA INC H OPC TOS LV SP PC MDR MAR WRITE READ FETCH 4 sín Mikroutasítások 24 bit: az adatút vezérléséhez bit: a következő utasítás címének megadásához,

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben

1.2 A Weboldal célja a 2016-os Márton Áron emlékévvel kapcsolatos információk, események és egyéb tartalmak megjelenítése.

1.2 A Weboldal célja a 2016-os Márton Áron emlékévvel kapcsolatos információk, események és egyéb tartalmak megjelenítése. A WWW.MARTONARON2016.HU WEBOLDAL ADATVEDELMI IRÁNYELVEI A Felhasználó a www.martonaron2016.hu weboldal használatának megkezdésével és az arra történő regisztrációval kifejezetten úgy nyilatkozik, hogy

Részletesebben

Tervezett erdőgazdálkodási tevékenységek bejelentése

Tervezett erdőgazdálkodási tevékenységek bejelentése Tervezett erdőgazdálkodási tevékenységek bejelentése ERDŐGAZDÁLKODÁSI HATÓSÁGI BEJELENTÉSEK/ TERVEZETT ERDŐGAZDÁLKODÁSI TEV. BEJELENTÉSE A Tervezett erdőgazdálkodási tevékenységek bejelentése a fakitermelési

Részletesebben

AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul

AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul - Csatlakozás az AF 088II rendszer digitális buszra - Kódkapcsolóval beállitható egység cím0..f - 16 db kétállapotú bemenet (=24V DC) - Galvanikus leválasztás - 1.5 kv szigetelési feszültség - Túlfeszültség

Részletesebben

2019, Funkcionális programozás. 2. el adás. MÁRTON Gyöngyvér

2019, Funkcionális programozás. 2. el adás. MÁRTON Gyöngyvér Funkcionális programozás 2. el adás Sapientia Egyetem, Matematika-Informatika Tanszék Marosvásárhely, Románia mgyongyi@ms.sapientia.ro 2019, tavaszi félév Mir l volt szó? Követelmények, osztályozás Programozási

Részletesebben

Aritmetikai utasítások I.

Aritmetikai utasítások I. Aritmetikai utasítások I. Az értékadó és aritmetikai utasítások során a címzési módok különböző típusaira látunk példákat. A 8086/8088-as mikroprocesszor memóriája és regiszterei a little endian tárolást

Részletesebben

Billenőkörök. Billenő körök

Billenőkörök. Billenő körök Billenő körök A billenőkörök, vagy más néven multivibrátorok pozitívan visszacsatolt, kétállapotú áramkörök. Kimeneteik szigorúan két feszültségszint (LOW és HIGH) között változnak. A billenőkörök rendszerint

Részletesebben

MEMÓRIA TECHNOLÓGIÁK. Számítógép-architektúrák 4. gyakorlat. Dr. Lencse Gábor. tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.

MEMÓRIA TECHNOLÓGIÁK. Számítógép-architektúrák 4. gyakorlat. Dr. Lencse Gábor. tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme. MEMÓRIA TECHNOLÓGIÁK Számítógép-architektúrák 4. gyakorlat Dr. Lencse Gábor 2011. október 3., Budapest tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.hu Tartalom Emlékeztető: mit kell

Részletesebben

Mikrokontrollerek. Tihanyi Attila 2007. május 8

Mikrokontrollerek. Tihanyi Attila 2007. május 8 Mikrokontrollerek Tihanyi Attila 2007. május 8 !!! ZH!!! Pótlási lehetőség külön egyeztetve Feladatok: 2007. május 15. Megoldási idő 45 perc! Feladatok: Első ZH is itt pótolható Munkapont számítás Munkapont

Részletesebben

E7-DTSZ konfigurációs leírás

E7-DTSZ konfigurációs leírás Dokumentum azonosító: PP-13-20354 Budapest, 2014.március Verzió információ Verzió Dátum Változtatás Szerkesztő Előzetes 2011.11.24. Petri 2.0 2014.01.22. 2. ábra módosítása: Az E7-DTSZ alap konfiguráció

Részletesebben

Számítógép Architektúrák (MIKNB113A)

Számítógép Architektúrák (MIKNB113A) PANNON EGYETEM, Veszprém Villamosmérnöki és Információs Rendszerek Tanszék Számítógép Architektúrák (MIKNB113A) 4. előadás: Utasítás végrehajtás folyamata: címzési módok, RISC-CISC processzorok Előadó:

Részletesebben

Aritmetikai utasítások

Aritmetikai utasítások Aritmetikai utasítások Az értékadó és aritmetikai utasítások során a címzési módok különböző típusaira látunk példát. A 8086/8088-as processzor memóriája és regiszterei a little endian tárolást követik,

Részletesebben

DIGITÁLIS TECHNIKA I KARNAUGH TÁBLA, K-MAP KARNAUGH TÁBLA PROGRAMOK PÉLDA: ÖT-VÁLTOZÓS MINIMALIZÁLÁS PÉLDA: ÖT-VÁLTOZÓS MINIMALIZÁLÁS

DIGITÁLIS TECHNIKA I KARNAUGH TÁBLA, K-MAP KARNAUGH TÁBLA PROGRAMOK PÉLDA: ÖT-VÁLTOZÓS MINIMALIZÁLÁS PÉLDA: ÖT-VÁLTOZÓS MINIMALIZÁLÁS IGITÁLIS TEHNIK I r. Pıdör álint MF KVK Mikroelektronikai és Technológia Intézet 5. ELİÁS 5. ELİÁS. Karnaugh táblázat programok. Nem teljesen határozott logikai függvények. Karnaugh táblázat, logikai tervezési

Részletesebben

Vényírás. 1. ábra. 1. oldal

Vényírás. 1. ábra. 1. oldal Vényírás Amennyiben sikeresen kitöltöttük és elmentettük a megvizsgált személy ápolási esetét, lehetőségünk van vény felírására, az alábbi módon; 1. ábra A gomb megnyomásával egy legördülő menü tárul elénk,

Részletesebben

Analízis. Ha f(x) monoton nő [a;b]-n, és difható egy (a;b)-beli c helyen, akkor f'(c) 0

Analízis. Ha f(x) monoton nő [a;b]-n, és difható egy (a;b)-beli c helyen, akkor f'(c) 0 Analízis A differenciálszámítás középértéktételei: 1) Rolle-tétel: Ha f folytonos a korlátos és zárt [a;b] intervallumon, f diffható [a;b]-n és f(a) = f(b), akkor van egy a < c < b belső pont, ahol f'(c)

Részletesebben

Digitális tananyag, e-learning, különbségek, definíciók

Digitális tananyag, e-learning, különbségek, definíciók INTERDISZCIPLINÁRIS ÉS KOMPLEX MEGKÖZELÍTÉSŰ DIGITÁLIS TANANYAGFEJLESZTÉS A TERMÉSZETTUDOMÁNYI KÉPZÉSI TERÜLET MESTERSZAKJAIHOZ, TIK Digitális tananyag, e-learning, különbségek, definíciók A tananyagfejlesztés

Részletesebben

Bevezetés az informatikába

Bevezetés az informatikába Bevezetés az informatikába 3. előadás Dr. Istenes Zoltán Eötvös Loránd Tudományegyetem Informatikai Kar Programozáselmélet és Szoftvertechnológiai Tanszék Matematikus BSc - I. félév / 2008 / Budapest Dr.

Részletesebben

Utasítások. Excel VII. Visual Basic programozás alapok. A Visual Basic-kel megoldható feladatok típusai Objektumok, változók Alprogramok

Utasítások. Excel VII. Visual Basic programozás alapok. A Visual Basic-kel megoldható feladatok típusai Objektumok, változók Alprogramok Alkalmazott Informatikai Intézeti Tanszék MŰSZAKI INFORMATIKA Dr.Dudás László 0. Excel VII. Visual Basic programozás alapok A Visual Basic-kel megoldható feladatok típusai Objektumok, változók Alprogramok

Részletesebben

Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés

Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés A gyakorlat célja Egyenáramú szervo motorral vezérelt egyszabadságfokú mechanikai rendszer meghajtó áramkörének és az ADVANTECH PCI

Részletesebben

Programozás I. Matematikai lehetőségek Műveletek tömbökkel Egyszerű programozási tételek & gyakorlás V 1.0 OE-NIK,

Programozás I. Matematikai lehetőségek Műveletek tömbökkel Egyszerű programozási tételek & gyakorlás V 1.0 OE-NIK, Programozás I. Matematikai lehetőségek Műveletek tömbökkel Egyszerű programozási tételek & gyakorlás OE-NIK, 2013 1 Hallgatói Tájékoztató A jelen bemutatóban található adatok, tudnivalók és információk

Részletesebben

INFORMATIKAI ALAPISMERETEK

INFORMATIKAI ALAPISMERETEK Informatikai alapismeretek emelt szint 0802 ÉRETTSÉGI VIZSGA 2008. október 20. INFORMATIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ OKTATÁSI ÉS KULTURÁLIS MINISZTÉRIUM

Részletesebben

Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető

Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető Bevezető előadás Mikrórendszerek összahasonlítása.dsp bevezető A DSP (Digital Signal Processor) mikrórendszer a világon a legelterjedtebb beágyazott rendszerben használt processzor. A DSP tulajdonságok

Részletesebben

Bevezetés a C programozási nyelvbe. Az Általános Informatikai Tanszék C nyelvi kódolási szabványa

Bevezetés a C programozási nyelvbe. Az Általános Informatikai Tanszék C nyelvi kódolási szabványa Miskolci Egyetem Általános Informatikai Tanszék Bevezetés a C programozási nyelvbe Az Általános Informatikai Tanszék C nyelvi kódolási szabványa Oktatási segédletek a levelező műszaki informatikus hallgatók

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Mikroarchitektúra szint Feladata az ISA (Instruction Set Architecture gépi utasítás szint) megvalósítása. Nincs rá általánosan elfogadott, egységes elv. A ISA szintű utasítások függvények, ezeket egy főprogram

Részletesebben

11. Analóg/digitális (ADC) és Digital/analóg (DAC) átalakítók

11. Analóg/digitális (ADC) és Digital/analóg (DAC) átalakítók 1 11. Analóg/digitális (ADC) és Digital/analóg (DAC) átalakítók A digitális jelekkel dolgozó mikroprocesszoros adatgyűjtő és vezérlő rendszerek csatlakoztatása az analóg jelekkel dolgozó mérő- és beavatkozó

Részletesebben

1.1. Általános áttekintés

1.1. Általános áttekintés 1.1. Általános áttekintés A mesterséges intelligencia megjelenésének az alapja a számítógép első működő eszköz az ENIAC számítógép volt amit a Manhattan-terv keretében fejlesztették ki 1946-ban. A memóriakezelő

Részletesebben

KIVONAT. Alcsútdoboz Település Önkormányzatának Képviselő-testülete

KIVONAT. Alcsútdoboz Település Önkormányzatának Képviselő-testülete megtartott soros testületi ülésének könyvéből: Alcsútdoboz Települési Önkormányzat Képviselő-testületének 120/2013 (X. 30.) sz. határozata a lejárt határidejű határozatok teljesüléséről szóló beszámolóról

Részletesebben

Programozható logikai vezérlõk

Programozható logikai vezérlõk BUDAPESTI MÛSZAKI EGYETEM KÖZLEKEDÉSMÉRNÖKI KAR KÖZLEKEDÉSAUTOMATIKAI TANSZÉK Programozható logikai vezérlõk Segédlet az Irányítástechnika I. c. tárgyhoz Összeállította: Szabó Géza egyetemi tanársegéd

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

Számítógépek felépítése

Számítógépek felépítése Számítógépek felépítése Emil Vatai 2014-2015 Emil Vatai Számítógépek felépítése 2014-2015 1 / 14 Outline 1 Alap fogalmak Bit, Byte, Word 2 Számítógép részei A processzor részei Processzor architektúrák

Részletesebben