X be. Clk Start. Strobe Q FF t D Q 74 "1" Q. Start. Clk. Strobe

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "X be. Clk Start. Strobe Q FF t D Q 74 "1" Q. Start. Clk. Strobe"

Átírás

1 Példa 2.1.: Készítsen soros-párhuzamos átalakító áramkört, amely egy Start impulzust követő 4 db. órajel alatt az X bemeneten érkező jeleket fogadja és Strobe jel hatására párhuzamosan be megjeleníti, az Y1(Start jel után az első órajel alatt beérkező X be érték), Y2, Y3, Y4 kimeneteken a kővetkező Strobe jelig. Start jelet követően mindig van minimum 4 db. órajel a Strobe impulzusig. Start és Strobe jelek szinkronizáltak, egy órajel ideig tartanak, és nem fedhetik át egymást. X bemenet értéke az órajel alatt ("1") nem változik. be U X be Y1 Y2 Y3 Y4 lk Start Strobe FF t ábra Megoldás 2.1/1: X be Start "1" K FF K K K K D p D p D p Y4 Y3 Y2 lk K K K K D p Y1 Strobe ábra Megoldás 2.1/2: X be Start "1" K FF K K K K D p D p D p Y4 Y3 Y2 lk K K K K D p Y1 Strobe ábra 3.3. Szinkron számlálók (160, 161, 162, 163) SN160, szinkron D, szinkron beírás, aszinkron törlés SN162, szinkron D, szinkron beírás, szinkron törlés SN161, szinkron bináris, szinkron beírás, aszinkron törlés

2 SN163, szinkron bináris, szinkron beírás, szinkron törlés eíró (LOD), törlő (LR) és két engedélyező (ENP és ENT) jel, átvitel (RO) kimenettel. LOD LR D RO ENP ENT D ENP ENT Számlálás engedélyezés RO engedélyezés D RO 3.6. ábra z RO kimenetekre alapozva a következően bővíthetőek: LOD LR D RO LOD LR D RO LOD LR D RO LOD LR D RO ENP ENT D ENP ENT D ENP ENT D ENP ENT D "" "" 3.7. ábra Elnevezés: arry Look-head (Átvitelképzés gyorsítása, az alacsonyabb helyiértéken megjelenő átvitel felléptekor nem kell kivárni, míg az sorosan végigterjed a magasabb helyiértékeken.) Példa 4.2.: Tervezzen logikai hálózatot, amely két 7 bites, bináris, előjeles, kettes komplemensű számra (x0...x7, y0...y7) előállítja a 4*(x-y) művelet eredményét kettes komplemens kódban. két operandus 2 lépésben, a STRT impulzus alatti és az azt követő órajelimpulzussal ütemezve, negáltan érkezik az X...XD, Y...YD bemenetekre a 4.4. ábra szerint. negált eredmény a következő STRT impulzusig álljon rendelkezésre. asználja fel a LS181 tip. LU áramkört. két operandusra és az eredményre az x0, y0, E0 a legalacsonyabb helyiérték. ciklus első impulzusa mindig a STRT alatt érkezik. Két STRT impulzus között legalább 3 órajel van. STRT lk X..XD Y..YD L E0..E10 X..XD Y..YD x4,x5 x6,x7 y4,y5 y6,y7 x0,x1 x2,x3 y0,y1 y2,y3 lk STRT E0..E ábra Megoldás 4.2/1:

3 X...XD Y...YD D-4D 5-8 5D-8D 181 n S n+4 F M 125 Y * 1G E10 O D-4D M = L S0 = L S1 = S2 = S3 = L 181 n+4 F LS244 1 * Y 2 1G 2G E2...E9 E1 E0 5D-8D 5-8 n S M O M = L S0 = L S1 = S2 = S3 = L STRT p D p D p 4.5. ábra Megoldás 4.2/2: 3 X...XD Y...YD D-4D 5-8 5D-8D 181 n S n+4 F M 1-4 1D-4D O E10 O M = L S0 = L S1 = S2 = S3 = L D-4D E2...E n+4 5D-8D F O n S M E1 E0 STRT p D p D p 4.6. ábra SN180: 8/9 bites paritásgenerátor és paritásellenőrző. emenetek: 8 darab adatbit (X), páros/páratlan bitek (Even In (), Odd In (OI)) Kimenetek: Páros/páratlan bitek (Even Out (EO), Odd Out (OO)) M = L S0 = L S1 = S2 = S3 = L...-n belüli egyesek száma OI EO OO páros páratlan páros páratlan

4 Paritásgenerátorként tehát a 180 az /OI magas állapota mellett az adatbiteket páros és páratlan paritásúra egészíti ki az OO/EO és az EO/OO kimeneteken. Paritásellenőrzéskor a kilencedik adatbitet az -re, negáltját az OI-re vezetve páros/páratlan paritást feltételezve az EO/OO magas szintje paritáshibát jelez. Nyocbites paritás-generátor : 180 : 180 Páros paritás-generátor Páratlan paritás-generátor 5.8. ábra Kilencbites paritás-generátor : 180 : 180 I I Páros paritás-generátor Páratlan paritás-generátor 5.9. ábra Paritás-ellenörzés : 180 Error (even) P Error (odd) ábra Példa 6.3.: RM számára 0, EPROM számára 0..7 wait-et beiktató REDY logika tervezése Megoldás 6.3.: általános megjegyzések: lefelé él után nincs biztosítva elég idő a REDY-hez pl.: 8085 felfutó órajel előtt legalább 110 ns a -RD és a -WR jel figyelembe vétele legalább 1 wait-et jelent -SRM * -REDY * -SEPROM K a b c h 164 -LR -RD -WR T 1 T 2 T W1 T W2 T 3 LE -REDY S EPROM (,) a b ábra

5 8. SID, SOD vonalak alkalmazása : : utasítás RST 5.5 beállított maszk ( "1" maszkolt) RST 6.5 beállított maszk RST 7.5 beállított maszk INTE ( megszakítás FF értéke) RST 5.5 bemenet értéke RST 6.5 bemenet értéke RST 7.5 bemenet értéke SID bemenet értéke utasítás RST 5.5 maszk beállítás ( "1" maszkol) RST 6.5 maszk beállítás RST 7.5 maszk beállítás Maszk beállítás engedélyezés RST 7.5 megszakítás FF törlése SOD állítás engedélyezés SOD kimenet 8.1. ábra Példa 8.1.: 8.1. ábra szerint egy i8085-ös processzor SID bemenetére pergésmentesített kapcsolót kötöttek. SOD kimenetről egy LED diódát lehet vezérelni. processzoron futó programnak a SID bemenetre érkező jeleket kell figyelnie és ez alapján vezérelni a LED diódát. bekapcsolás után a SID bemenetre érkező jel első felfutó élre a diódának világítani kell, a bemenetre érkező következő impulzus lefutó élére pedig el kell aludnia (8.2. ábra). Készítsen el egy olyan assambly programot, amely ezt a vezérlést ciklikusan ismétli. program címe legyen SID t SOD : t 8.2. ábra i8085 i8085 sín SID S R nyomógomb SOD 8.3. ábra Megoldás 8.1.: programban alkalmazott regiszterek feladata: : Előző mintavételezéskor a SID bemenet értéke, : iklus állapot-tárolója, D: ktuális SID érték

6 1 : = SID SOD = 0 = 0 D: = SID nem NEM D XOR = 1? igen : = D nem (lefutó él) = 0? igen (felfutó él) : = D = 2? = 0? igen igen SOD = 1 nem : = ábra ORG 8000 KEZD: NI 80 MOV, FOIKL:,40,00 STTUS:= IKL1: NI 80 FELSO ITRE MSZK MOV D, UJ ERTEK MENTESE XR XOR, FIGYEL JZ IKL1 UGRIK, SID NEM VLTOZOTT XR :=0 OR 0+ JZ FELFUT UGRIK, FELFUTÓ EL LEFUT: MOV,D REGI SID ERTEK FRISSITESE MOV, PI 2 JZ FOIKL UGRIK, OGY SOD LEESSEN JMP IKL FELFUT: MOV,D REGI SID ERTEK FRISSITESE XR OR JNZ FEL1 UGRIK, NEM ELSO EL,00 SOD=1 FEL1: INR :=+1 JMP IKL END Példa 8.2.: Mod. 256-os számláló i8085-ös processzorral. processzor RST 5.5 bemenete a számláló engedélyező jele, és a SID bemenetre érkeznek az impulzusok. z áramkör az impulzusok felfutó élére működjön mod. 256 számlálóként. z áramkör kimenete a processzor SOD kimenete, amelyen 256 impulzusonként egy ciklusidőre jelenik meg pozitiv impulzus. z bemenő impulzusok szélessége nagyobb mint 0.5 ms és a két bemenet változása egymástól 50µs-nál nagyobb távolságra van. SID t RST 5.5 számol nem számol >50µs t SOD t 8.5. ábra

7 i8085 i8085 sín SID S SOD R Nyomógomb RST 5.5 S R Kapcsoló 8.6. ábra Megoldás 8.2./1: z RST 5.5 vonal programozott lekérdezésével. 1 : = SID (SOD = 0) L = 0 E: = RST 5.5 D: = SID : = D nem nem (lefutó él) D XOR = 1? igen = 0? nem igen (felfutó él) E = 1? igen L: = L-1 L = 0? nem igen (SOD = 1) (SOD = 0) 8.7. ábra programban alkalmazott regiszterek feladatai: : Előző mintavételi SID érték. D: ktuális SID érték. E: ktuális RST 5.5 érték. L: Számláló értéke. Egyidőben történik a mintavételezése a SID és RST 5.5 bemeneteknek, ezért a jelek elvileg egyidőben is változhatnak (az 50µs-os idő a két változás között nincs kihasználva). ORG 8000 INI: NI 80 MOV, ELOZO SOD ELLITS -E,4F FELES IT-K TILTS, SOD=0 XR MOV L, L= KEZD: PUS PSW NI 10 MOV E, E=RST 5.5 POP PSW NI 80 MOV D, D=KTULIS SID XR VOLT SID VLTOZS? JZ KEZD UGRIK, NEM VOLT XR OR ELOZO SOD 0 VOLT? JZ FELFUT URIK, FELFUTO EL LEFUT: MOV,D ELOZO ERTEK FRISSITESE JMP KEZD FELFUT: XR OR E KELL SZMOLNI? JZ LEFUT UGRIK, NEM KELL (RST 5.5=0) DR L JNZ TORL UGRIK, NEM 256. IMP.,00 SOD=1 PRNS SZO

8 JMP LEFUT TORL:,40 SOD=0 PRNS SZO JMP LEFUT END Megoldás8.2./2: RST 5.5 megszakításkérés alkalmazásával. FÕPROGRM : = SID (SOD = 0) L = 0 D: = SID nem D XOR = 1? : = D igen nem (lefutó él) = 0? igen (felfutó él) Megszakítás engedélyezés RST 5.5 rutin L: = L-1 L = 0? nem Megszakítás tiltás igen (SOD = 1) (SOD = 0) 8.8. ábra z RST 5.5 megszakításkérő jel szintjére történik meg a szubrutin meghívása, akkor ha a SID vonal felfutó élére a megszakítások tiltása megszünik (). z RST 5,5 szubrutinban dekrementálás és SOD állítása történik. ORG 0 JMP INI ORG 28 RUTIN: DR D JNZ TORL UGRIK, NEM 256. IMP.,00 SOD=1 PRNS SZO TORL:,40 SOD=0 PRNS SZO ORG 8000 INI: NI 80 MOV, ELOZO SID ELLITS -E,4E FELES IT-K MSZKOLS(KIVETEL RST5.5), SOD=0 XR MOV L, L= KEZD: NI 80 MOV D, D=KTULIS SID XR VOLT SID VLTOZS? JZ KEZD UGRIK, NEM VOLT XR OR ELOZO SID 0 VOLT? JNZ LEFUT UGRIK, LEFUTO EL FELFUT: NOP DI LEFUT: MOV,D ELOZO ERTEK FRISSITESE JMP KEZD END 9. Feles megszakítások alkalmazása. Példa 9.1.: Készítsen megszakítási vonalakat kezelő áramkört, amely egy 8085-ös processzoron alapuló sín RST 5.5-ös megszakítási vonalára csatlakozva 3 db külsô megszakítás fogadására alkalmas. Egy megszakítási impulzusra csak egyszer kérjen megszakítást. Feltételezzük, hogy a megszakítási impulzusok gyakorisága max. 1ms. megszakítások kezelését külön-külön áramkörök végzik, amelyek alaphelyzetbe állíthatók a 78, 79 és 7 címre adott írás paranccsal. megszakításkérés lekérdezhető a fenti címekre adott olvasás paranccsal. z RST 5.5-ös megszakítási szubrutinban az IRT1 a, az IRT2 a D és az IRT3 az E regisztereket inkrementálja.

9 Megoldás 9.1.: Javasolt blokkvázlat: i8085 datsín TT ímsin WR RD IO/M REDY RST 5.5 D K K K D D * * * Reset out T FF T FF T FF I/O áramkör I/O áramkör I/O áramkör ITR1 ITR2 ITR ábra I/O áramkör D0 RST 5.5 SN126 RD WR SN LR PR IRT1 IRT2 IRT3 D IO/M E1 E2 E3 i8205 Ready Reset out IRTi ( i = 1,2,3 ) 9.2. ábra FOPROGRM RM EU 8000 STK EU 8100 IRT1K EU 78 IRT2K EU 79 IRT3K EU 7 IRTK EU 7 (2. PELD) SZKIT EU 7 (3. PELD) MSZK EU RST 6.5, RST 7.5 MSZK PRNS ( 1.2.PELDK) MSZ75 EU RST 5.5, RST 6.5 MSZK PRNS (3. PELD) T75 EU RST 7.5 FF. TORLESE (3. PELD) 0RG 0 JMP INI ORG 02 RST 5.5-OS RUTIN IVS JMP RUTIN ORG 40 INI: LXI,STK SPL STK ELLITS XR MOV, MOV D, MOV E,,D,E REGISZTEREK TORLESE,MSZK RST 6.5, RST 7.5 MSZKOLS UROK: JMP UROK RST 5.5 SZURUTIN RUTIN: PUS PSW IN IRT1K IRT1 MEGSZKITS ERKEZETT? NI 1 JNZ RU1 IN IRT2K IRT2 MEGSZKITS ERKEZETT? NI 1 JNZ RU2

10 INR E ITR3 LEKEZELESE OUT IRT3K ITR3 MEGSZKITSI FF. TORLESE POP PSW RUT1: INR ITR1 LEKEZELESE OUT IRT1K ITR3 MEGSZKITSI FF. TORLESE POP PSW RUT2: INR D ITR2 LEKEZELESE OUT IRT2K ITR3 MEGSZKITSI FF. TORLESE POP PSW END Példa 9.2.: Készítsék el a Példa1 megoldását úgy, hogy a megszakítási ff.-ok értékei egy utasítással legyenek lekérdezhetők (cím: 7). Megoldás 9.2.: D0 D1 D2 IRT1 IRT2 IRT3 RST 5.5 SN126 RD WR SN LR PR IRT1 IRT2 IRT3 D IO/M E1 E2 E3 i8205 Ready Reset out IRTi ( i = 1,2,3 ) 9.3. ábra RST 5.5 SZURUTIN RUTIN: PUS PSW PUS IN IRTK MOV, NI 1 IRT1 ERKEZETT? JNZ RU1 RU3: MOV, NI 2 IRT2 ERKEZETT? JNZ RU2 RU4: MOV, NI 4 IRT2 ERKEZETT? JZ VEGE INR E ITR3 LEKEZELESE OUT IRT3K ITR3 FF. TORLESE VEGE: POP POP PSW RUT1: INR ITR1 LEKEZELESE OUT IRT1K ITR3 MEGSZKITSI FF. TORLESE JMP RU3 RUT2: INR D ITR2 LEKEZELESE OUT IRT2K ITR3 MEGSZKITSI FF. TORLESE JMP RU4 Példa 9.3.: Készítsék el a Példa2 megoldását RST 7.5-es megszakítás alkalmazásával. Megoldás 9.3.:

11 3 D0 D1 D2 IRT1 IRT2 IRT3 RST 7.5 SN126 RD WR SN LR PR IRT1 IRT2 IRT3 D IO/M E1 E2 E3 i8205 Ready Reset out IRTi ( i = 1,2,3 ) 9.4. ábra FOPROGRM RM EU 8000 STK EU 8100 IRT1K EU 78 IRT2K EU 79 IRT3K EU 7 IRTK EU 7 (2. PELD) SZKIT EU 7 (3. PELD) MSZK EU RST 6.5, RST 7.5 MSZK PRNS( 1.2.PELDK) MSZ75 EU RST 5.5, RST 6.5 MSZK PRNS(3. PELD) T75 EU RST 7.5 FF. TORLESE (3. PELD) 0RG 0 JMP INI ORG 03 RST 5.5-OS RUTIN IVS JMP RUTIN ORG 40 INI: LXI,STK SPL STK ELLITS XR MOV, MOV D, MOV E,,D,E REG. TORLESE,MSZ75 RST 6.5, 5.5 MSZKOLS UROK: JMP UROK RST 7.5 SZURUTIN RUTIN: PUS PSW PUS IN IRTK MOV, NI 1 IRT1 ERKEZETT? JNZ RU1 RU3: MOV, NI 2 IRT2 ERKEZETT? JNZ RU2 RU4: MOV, NI 4 IRT2 ERKEZETT? JZ VEGE INR E ITR3 LEKEZELESE OUT IRT3K ITR3 FF. TORLESE VEGE: POP POP PSW,T75 RST 7.5 FF. TORLESE OUT SZKIT RST 7.5 FELFUTOEL GEN. RUT1: INR ITR1 LEKEZELESE OUT IRT1K ITR3 FF. TORLESE JMP RU3 RUT2: INR D ITR2 LEKEZELESE OUT IRT2K ITR3 FF. TORLESE JMP RU END

12 10. Megszakításkezelők alkalmazása (i8259) Példa10.1.: Készítsen áramkört, amely egy 8085-ös processzoron alapuló sínre csatlakozva 8 db külső megszakítás fogadására alkalmas.. processzor modul nem tartalmaz megszakítás vezérlőt, a processzor INT és INT jelei ki vannak vezetve a buszra. rendszerben egyéb IT kérő eszköz csak a processzor feles IT vonalait használhatja.. processzor modul tartalmaz 1db 8259-es megszakításvezérlőt es IT bemenetei (IT0..IT7) valamint kaszkád kimenetei (S0..S2) megtalálhatók a buszon. kártya az IT2 vezetékre csatlakozhat. Készítsük el az IT vezérlőket inicializáló rutinokat! a. áziscím: 20, IT tábla cím 10, intervallum: 4 byte. b. Processzor moduli vezérlő: ázis cím: 80h, IT tábla cím 180, intervallum: 4 byte. I. RDVER MEGVLÓSÍTÁS. KÜLSŐ IT VEZÉRLŐVEL 0 RD WR INT INT IO/M 7..1 RDY * D7..0 VEZ G DIR 0 RD WR INT INT 8259 S D7..0 S0 S1 S2 SP/EN IR7... IR0 IR0.. IR7: Szint vagy él+szint érzékeny, de mindkét esetben a kiszolgálás pillanatában IRi = 1 kell legyen. 1. VEZ feladata: -S előállítása - a 8259-es mint periféria szerepel S = sajá tcím IO / M daterősítő vezérlése - INT alatt - pogramozás alatt (8259 mint periféria) G = S + INT ( G = S INT) DIR = IORD + INT ( DIR = IORD INT) RDY* előállítása - INT alatt - pogramozás alatt (8259 mint periféria) RDY = S + INT (Figyelem! INT alatt IO / M = 1, más IO eszköz is adhat REDY-t) IO/M RDY * * INT RD LS138 E 1 E 2 E S G DIR 2. IT RUTIN Feladatok: Regiszter mentés IT kiszolgálás ( IT "törlés" ) Regiszter visszaállítás IT engedélyezés 8259

13 Processzor a.) utomatikus EOI következő IT engedélyezése a processzor asználható, ha z IT rutinok nem megszakíthatók Nincs nagy prioritású IT b.) Programozott EOI IT engedélyezése programból asználható, ha z IT rutinok "hosszúak" Van nagy prioritású IT Regiszter mentés "Nem megszakítható" műveletek További műveletek (IT kiszolgálás) Regiszter visszaállítás 8259 EOI (OW2) utasításával ITRUTi: PUS ITRUT0: PUS PSW.. POP PSW PSW. eddig nem megszakítható. innen kezdve a magasabb. prioritású érvényre juthat.,20h OUT X59 POP PSW. SLVE IT VEZÉRLŐVEL Különbségek: S0..2 vonal kell ufferelt üzemmód: INT helyett SP / EN Programozás IW1-ben SNGL = 0 IW3-ban MSTER: IW3 i.slave = 1 SLVE: i IW4-ben MSTER: M/S = 1 SLVE: M/S = 0 IT rutinban:eoi a MSTER-re és a SLVE-re is! (Speciális rögzített prioritású működés!!!) Maszk állítás: Inicilaizálás után: mindenki engedélyezve - ha tiltani kell, M i =1-re tiltás ITMSK EU 0FFh IW1 EU 0D7h IW2 EU 001h IW4 EU 002h X59 EU 020h 8259 IO báziscím ITSE EU 0010 IT tábla báziscím ITINIT: DI Inicializálás alatt IT tiltás,iw1 OUT X59,IW2 OUT X59+1,IW4 OUT X inicializálás,itmsk OUT X59+1 IT maszk állítás LXI,ITSE,03h JMP kódja MOV M, INX LXI D,ITRUT0 IT0 szubrutin címe MOV M,E INX MOV M,D INX INX 4. byte átugrása MOV M, JMP kódja INX LXI D,ITRUT1 IT1 szubrutin címe. Inicializálás vége, IT engedélyezés 13. Kiemeneti periféria illesztés Feladat: illesztési felület (interface) és működtető program (handler) kialakítása nyomtatóhoz (perifériához). Megvalósítás: szabványos (egységes) interface és protokoll alkalmazása Példa: SI interfész és protokoll z interfész jelei: Jel Function Funkció SO source operation forrás üzemképes S soure control forrás vezérlés O acceptor operation nyelő üzemképes acceptor operation nyelő vezérlés D0..D7 data lines adatvonalak

14 DP parity paritásbit Irás (-WR) IT-re, vagy programozott státuszellenőrzés után port 1. üzemmód kimenetek: -OF P7 -K P6 INTRa P3 S= saját cím IO/-M -EN -INT S=ready, hogy IT alatt ne adjon hamis REDY-t G=S (RD+WR) datbusz meghajtó +5V D0 D G DIR D0 D7 P0 P G1 -G2 DT0 DT7 G DIR FUNKIÓ X TS L L L -WR -WR IO/-M -EN -INT -RDY 2 7 -EN 0 1 REDY + adatbusz vezérlés ím dekóder +5V -RD -S 0 1 P7 -OF t 360 ns 8255 P6 -K P0 P1 P4 INTRa P3 RES 14 Vonal lezárás S O ERROR SO datbusz + vezérlő logika: E1 -E2 E3 LS138 -Y0 -Y1 -Y2 -Y3 -Y4 -Y5 -Y6 -Y7 04 -S55 RES 06 (O) 7 INT0 INT1. INT7 IO/-M -EN -INT -RDY +5V -EN=: processzor -EN=L: DM 06 (O) -G 245 -RD -WR -DIR 245 IO/-M EN IO/-MEN -OF t S t biztosítja hogy DT0..DT7 előbb legyen stabil, mint S OF max 650 ns minimális időről nem ad tájékoztatást

15 -WR -OF max 650 ns max 350 ns DT -OF S max 350 ns -K max 350 ns min 300 ns t időzítés előállítása egy 123 típusú monostabil multivibrátorral (Mono Flop) történik. z időzítés nagyságát az R és külső passzív elemekkel állíthatjuk be. Értéket az elemknek diagrammból, vagy az alábbi képlet alapján választhatunk. hol k= LR -OF 1/2 123 K - t 123 -L - R +5V L L - +5V -L D K -PR 0.7 t = k R ( 1 + ) R LR - x x L L x 0-0 S RES működés idődiagramja: -OF S - D Szoftver: INIT (felprogramozás) Lépések: konfigurálás, munkarekeszek alaphelyzetbe állítása. az IT rutin címét az táblába beilleszteni Munka regiszter és jelzők: K: Munka: D7 K D0

16 kiviendő karakter Státusz: státusz Kiviteli rutin: IT rutin: I? i INTE 8255 :=0 n n k=1 i munka:=[c] k:=1 k:=0 adat 8255 :=(munka) INTE 8255 :=1 vége vége program: GLOL ONSTNTS X55 EU 00 PORT SE DDRESS X55_ EU X55 PORT X55_ EU X55+1 PORT X55_ EU X55+2 PORT X55_T EU X55+3 ONTROL W55 EU 03 ONTROL WORD DISINT EU 00 DISLE 8255 IT ENINT EU 00D ENLE 825 IT ENSO EU 008 ENLE SO-RESET P4 DISSO EU 009 DISLE SO- SET P4 KMSK EU 080 MSK EU 001 PRERR EU 003 PRINTER ERROR IT1MSK EU 0FD IT ENLE (8259) EOI EU 020 END OF INTERRUPT(8259) PULI X55,W55,DISINT,ENINT,DISSO,ENSO PULI KMSK,MSK,PRERR,IT1MSK ************************************************************* DT SEGMENT ************************************************************* DSEG PGE PULI K,MUNK,I K: DS 1 MUNK: DS 1 I: DS 1 ************************************************************* PRINTER IT ************************************************************* SEG PGE PULI PRINIT EXTERN ITT,X59 IT TLE, 8259 SE DDRESS PRIT: PUS PSW SVE REGISTER & FLG,DISINT OUT X55_T DISLE IT 8255 LD MUNK OUT X55_ OUTPUT RTER TO,KMSK ST K K:=1,EOI OUT X59 ENLE NEXT IT (8259) POP PSW ************************************************************* PRINTER INIT ************************************************************* PRINIT:,W55 OUT X55_T,DISINT OUT X55_T,ENSO OUT X55_T,KMSK

17 ST K STORE IT TO ITTLE,03 JMP ODE ST ITT+4 IT 1 POSITION LXI,PRIT SLD ITT+5 IN X59+1 ENLE IT (8259) NI ITMSK OUT X59+1 END ************************************************************* RTER OUT TO PRINTER IN: = RTER TO PRINT OUT: Y = 0 - OK Y = 1 - ERROR, = ERROR ODE DEST:,F ************************************************************* SEG PGE PULI PRO EXTERN X55,KMSK,MSK, PRERR EXTERN K, MUNK, I PRO: IN X55_ NI PRERR JNZ P1 ERROR IN PRINTER LD K NI KMSK JZ PRO WIT MOV, PRINT ST MUNK NEXT R XR ST K K:=0,ENINT OUT X55_T ENLE IT (8255) P1: ST I ERROR IN PRINTER,MSK ST K LD I ST END

Az interrupt Benesóczky Zoltán 2004

Az interrupt Benesóczky Zoltán 2004 Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt

Részletesebben

1. Digitális integrált áramkörök

1. Digitális integrált áramkörök 1. gyakorlat Digitális technika II. BSC képzés BME-IIT 1 1. Digitális integrált áramkörök 1.1. Logikai függvény elektronikus megvalósítása Figyelembe vett szempontok: legyen könnyen sokszorosítható legyenek

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport

Digitális technika II., 2009/2010 tavasz 1. vizsga 2010.06.01. A csoport Beugró kérdések: 1. USART jelalak (TdX) felrajzolása adott paritás és adott számú STOP bit mellett egy kétjegyű hexa szám átvitelére. 2. RST7.5, TRAP és INT megszakítási bemenetek összehasonlítása tilthatóság

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

1. ábra: Perifériára való írás idődiagramja

1. ábra: Perifériára való írás idődiagramja BELÉPTETŐ RENDSZER TERVEZÉSE A tárgy első részében tanult ismeretek részbeni összefoglalására tervezzük meg egy egyszerű mikroprocesszoros rendszer hardverét, és írjuk meg működtető szoftverét! A feladat

Részletesebben

SZÁMÍTÓGÉP ARCHITEKTÚRÁK

SZÁMÍTÓGÉP ARCHITEKTÚRÁK SZÁMÍTÓGÉP ARCHITEKTÚRÁK Kártyás ajtónyitó tervezése Horváth Gábor BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu, belso@hit.bme.hu Budapest, 2018-02-19 Hálózati Rendszerek és Szolgáltatások

Részletesebben

1 done by: b+bme. Adja meg, hogy milyen ICW3 parancsot kell küldeni a MASTER illetve a SLAVE egységeknek!

1 done by: b+bme. Adja meg, hogy milyen ICW3 parancsot kell küldeni a MASTER illetve a SLAVE egységeknek! 1 done by: b+bme 6 a.) Egy kaszkádosított megszakításkezelő rendszerben, milyen esetben kell parancsbyte-ban megadni a SLAVE megszakításkezelőknek, hogy slave áramkörök? - kaszkádosítás esetén, illetve

Részletesebben

SZORGALMI FELADAT. 17. Oktober

SZORGALMI FELADAT. 17. Oktober SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Perifériakezelési módszerek (Korrigált) Feltétel nélküli

Perifériakezelési módszerek (Korrigált) Feltétel nélküli INPUT-OUTPUT I-II. Tartalom INPUT-OUTPUT I-II.... 1 Perifériakezelési módszerek (Korrigált)... 2 A közvetlen memória hozzáférés (DMA)... 4 Feladatok:... 10 A megszakítás... 12 Az Intel 8259 IT vezérlő

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

Programozási segédlet DS89C450 Fejlesztőpanelhez

Programozási segédlet DS89C450 Fejlesztőpanelhez Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Karakteres LCD kijelző használata MiniRISC processzoron

Karakteres LCD kijelző használata MiniRISC processzoron Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte

Részletesebben

Számítógép architektúrák

Számítógép architektúrák Számítógép architektúrák Kártyás ajtónyitó tervezése 2016. március 7. Budapest Horváth Gábor docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu Számítógép Architektúrák Horváth

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

A mikroszámítógép felépítése.

A mikroszámítógép felépítése. 1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich

Részletesebben

Adatfeldolgozó rendszer tervezése funkcionális elemekkel

Adatfeldolgozó rendszer tervezése funkcionális elemekkel Adatfeldolgozó rendszer tervezése funkcionális elemekkel F1. Tervezzünk egy adatbányász egységet, amely egy 256x8 bites ROM adattároló memóriában megkeresi a megadott keresési feltételnek megfelelő legelső

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 9

Digitális technika (VIMIAA01) Laboratórium 9 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

Az vevő- és vezérlőáramkör programja

Az vevő- és vezérlőáramkör programja Az vevő- és vezérlőáramkör programja Központizár-vezérlés - IR vevő- és vezérlőáramkör INCLUDE 89C2051.mc ******************************************************************************** VÁLTOZÓK ********************************************************************************

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd SZE MTK MSZT lovas.szilard@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? Nem reprezentatív felmérés kinek van

Részletesebben

Számítógépek felépítése, alapfogalmak

Számítógépek felépítése, alapfogalmak 2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés

Részletesebben

Az integrált áramkörök kimenetének kialakítása

Az integrált áramkörök kimenetének kialakítása 1 Az integrált áramörö imeneténe ialaítása totem-pole three-state open-olletor Az áramörö általános leegyszerűsített imeneti foozata: + tápfeszültség R1 V1 K1 imenet V2 K2 U i, I i R2 ahol R1>>R2, és K1,

Részletesebben

Funkcionális áramkörök vizsgálata

Funkcionális áramkörök vizsgálata Dienes Zoltán Funkcionális áramkörök vizsgálata A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja:

Részletesebben

1. Az utasítás beolvasása a processzorba

1. Az utasítás beolvasása a processzorba A MIKROPROCESSZOR A mikroprocesszor olyan nagy bonyolultságú félvezető eszköz, amely a digitális számítógép központi egységének a feladatait végzi el. Dekódolja az uatasításokat, vezérli a műveletek elvégzéséhez

Részletesebben

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk Elméleti anyag: Processzoros vezérlés általános tulajdonságai o z induló készletben

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

A mikroprocesszor felépítése és működése

A mikroprocesszor felépítése és működése A mikroprocesszor felépítése és működése + az egyes részegységek feladata! Információtartalom vázlata A mikroprocesszor feladatai A mikroprocesszor részegységei A mikroprocesszor működése A mikroprocesszor

Részletesebben

Programozott soros szinkron adatátvitel

Programozott soros szinkron adatátvitel Programozott soros szinkron adatátvitel 1. Feladat Név:... Irjon programot, mely a P1.0 kimenet egy lefutó élének időpontjában a P1.1 kimeneten egy adatbitet ad ki. A bájt legalacsonyabb helyiértéke 1.

Részletesebben

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium

Részletesebben

BME-IIT Digitális technika II. (VIIIA106) - Ellenőrző feladatok V1.3.

BME-IIT Digitális technika II. (VIIIA106) - Ellenőrző feladatok V1.3. 1. a.) Készítsen hálózatot, amely X,Y 6 bites pozitív számokon a következő műveleteket végzi: Z = 2*X + Y, ha X > Y Z = 2*X - Y, ha X < Y Z = 2*Y + X, ha X = Y Z nyolc bites kettes komplemens érték. A

Részletesebben

Digitális technika II. - Ellenőrző feladatok

Digitális technika II. - Ellenőrző feladatok 1. a.) Illesszen 8085-ös mikroprocesszor alapú sínre (A0 A15, D0..D7, RD, WR, RESETOUT, READY ) 1db 27C32 típ. (4k) EPROM és 2db 5532 típ. (4k) RAM memóriákat úgy, hogy egy K kapcsoló értékétől függően

Részletesebben

Digitális Technika 2 házi feladat Dudás Márton

Digitális Technika 2 házi feladat Dudás Márton Digitális Technika 2 házi feladat Dudás Márton 1. Illessszen 8085-ös mikroprocesszor alapú sínre 2764 típusú EPROM és 5516 típusú RAM memóriákat úgy, hogy az alábbi címtartományokat fedjék le: 1. 0000h

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás

Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás Járműfedélzeti rendszerek I. 5. előadás Dr. Bécsi Tamás Megszakítások (Interrupts: IT) Megszakítás fogalma Egy aszinkron jelzés (pl. gomblenyomás) a processzor felé (Interrupt Request: IRQ), hogy valamely

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

A Számítógépek felépítése, mőködési módjai

A Számítógépek felépítése, mőködési módjai Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor

Részletesebben

Yottacontrol I/O modulok beállítási segédlet

Yottacontrol I/O modulok beállítási segédlet Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

Ütem Lámpafázisok A számláló értéke ütemmerker 1 P 0 M1 2 P 1 M2 3 P S 2 M3 4 Z 3 M4 5 Z 4 M5 6 Z 5 M6 7 Z 6 M7 8 S 7 M8

Ütem Lámpafázisok A számláló értéke ütemmerker 1 P 0 M1 2 P 1 M2 3 P S 2 M3 4 Z 3 M4 5 Z 4 M5 6 Z 5 M6 7 Z 6 M7 8 S 7 M8 Ütemvezérelt lefutóvezérlések Közlekedési lámpa vezérlése Egy közlekedési lámpa 3 időegységig piros, ahol a 3. időegységben a piros mellett a sárga lámpa is világít. Ezután 4 időegység zöld fázis következik.

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A 2. gyakorlaton foglalkoztunk a 3-mal vagy 5-tel osztható 4 bites számok felismerésével. Abban a feladatban a bemenet bitpárhuzamosan, azaz egy időben minden adatbit

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA

4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA 4.1.1. I 2 C, SPI, I 2 S, USB, PWM, UART, IrDA A címben található jelölések a mikrovezérlők kimentén megjelenő tipikus perifériák, típus jelzései. Mindegyikkel röviden foglalkozni fogunk a folytatásban.

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje!

Részletesebben

Digitális technika házi feladat III. Megoldások

Digitális technika házi feladat III. Megoldások IV. Szinkron hálózatok Digitális technika házi feladat III. Megoldások 1. Adja meg az alábbi állapottáblával megadott 3 kimenetű sorrendi hálózat minimális állapotgráfját! a b/x1x c/x0x b d/xxx e/x0x c

Részletesebben

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés

Periféria kezelési módszerek. programozott megszakításos DMA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Periféria kezelési módszerek programozott megszakításos MA-s - közvetlen szoftver ütemezés - lekérdezéses ütemezés Programozott periféria kezelés Közvetlen szoftver ütemezés gyes perifériáknál nincs szükség

Részletesebben

Az MSP430 mikrovezérlők digitális I/O programozása

Az MSP430 mikrovezérlők digitális I/O programozása 10.2.1. Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 számítógép felépítése 1/8 számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: : Harvard struktúra : Neumann struktúra kétféle elrendezés alapvetően egyformán

Részletesebben

A MiniRISC processzor

A MiniRISC processzor BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT

Részletesebben

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák

I. C8051Fxxx mikrovezérlők hardverfelépítése, működése. II. C8051Fxxx mikrovezérlők programozása. III. Digitális perifériák I. C8051Fxxx mikrovezérlők hardverfelépítése, működése 1. Adja meg a belső RAM felépítését! 2. Miben különbözik a belső RAM alsó és felső felének elérhetősége? 3. Hogyan érhetők el az SFR regiszterek?

Részletesebben

Készítette: Oláh István mestertanár

Készítette: Oláh István mestertanár BME Automatizálási és Alkalmazott Informatikai Tanszék Villamos laboratórium 1. PLC-k programoza sa Mérési útmutató Készítette: Oláh István mestertanár (olah.istvan@aut.bme.hu) 2014. szeptember Bevezetés

Részletesebben

Programozható Logikai Vezérlő

Programozható Logikai Vezérlő 4. előadás Tartalom: A feladata A felépítése, típusai, részegységei Programnyelvek Programozás (FST) FESTO -k bemutatása (FEC20-DC, ) FEC programozása FST bemutatása Automatizálástechnika I. előadás Farkas

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

A számítógép alapfelépítése

A számítógép alapfelépítése Informatika alapjai-6 A számítógép felépítése 1/14 A számítógép alapfelépítése Nevezzük számítógépnek a következő kétféle elrendezést: A: Harvard struktúra B: Neumann struktúra A kétféle elrendezés alapvetően

Részletesebben

Billentyűzet. Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó),

Billentyűzet. Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó), Billentyűzet Általános billentyűzet Csatlakozók: A billentyűzetet kétféle csatlakozóval szerelhetik. 5 pólusú DIN (AT vagy XT billentyűzet csatlakozó), 6 pólusú mini-din (PS/2 billentyűzet csatlakozó).

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. Tervezzünk egy soros mintafelismerőt, ami a bemenetére ciklikusan, sorosan érkező 4 bites számok közül felismeri azokat, amelyek 3-mal vagy 5-tel oszthatók. A fenti

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Sín műveletek z eddigiek közönséges műveletek voltak. lokkos átvitel (3.4. ábra): kezdő címen kívül az adatre kell tenni a mozgatandó adatok számát. Esetleges várakozó ciklusok után ciklusonként egy adat

Részletesebben

BELÉPTETŐ RENDSZER TERVEZÉSE

BELÉPTETŐ RENDSZER TERVEZÉSE BELÉPTETŐ RENDSZER TERVEZÉSE Számítógép-architektúrák 1. gyakorlat 2011. szeptember 21., Budapest Dr. Lencse Gábor tudományos főmunkatárs BME Híradástechnikai Tanszék lencse@hit.bme.hu Beléptető rendszer

Részletesebben

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység Mikroprocesszor CPU C Central Központi P Processing Számító U Unit Egység A mikroprocesszor általános belső felépítése 1-1 BUSZ Utasítás dekóder 1-1 BUSZ Az utasítás regiszterben levő utasítás értelmezését

Részletesebben

Bevezetés a számítástechnikába

Bevezetés a számítástechnikába Bevezetés a számítástechnikába Megszakítások Fodor Attila Pannon Egyetem Műszaki Informatikai Kar Villamosmérnöki és Információs Rendszerek Tanszék foa@almos.vein.hu 2010. november 9. Bevezetés Megszakítások

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron PWM elve, mikroszervó motor vezérlése MiniRISC processzoron F1. A mikroprocesszorok, mint digitális eszközök, ritkán rendelkeznek közvetlen analóg kimeneti jelet biztosító perifériával, tehát valódi, minőségi

Részletesebben

Máté: Számítógép architektúrák 2010.10.06.

Máté: Számítógép architektúrák 2010.10.06. szinkron : Minden eseményt egy előző esemény okoz! Nincs órajel, WIT, van viszont: MSYN# (kérés Master SYNchronization), SSYN# (kész Slave SYNchronization). Ugyanazon a en gyors és lassú mester szolga

Részletesebben

Mérési utasítás Mikrokontroller programozás 2.sz. mérés

Mérési utasítás Mikrokontroller programozás 2.sz. mérés Mérési utasítás Mikrokontroller programozás 2.sz. mérés Szükséges ismeretanyag: - IBM PC kezelése, szövegszerkesztés, Double Commander - SB80C515 mikrokontroller felépítése, utasításai - HyperTerminál

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Digitális technika VIMIAA hét

Digitális technika VIMIAA hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

találhatók. A memória-szervezési modell mondja meg azt, hogy miként

találhatók. A memória-szervezési modell mondja meg azt, hogy miként Memória címzési módok Egy program futása során (legyen szó a program vezérléséről vagy adatkezelésről) a program utasításai illetve egy utasítás argumentumai a memóriában találhatók. A memória-szervezési

Részletesebben

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással .. A tervfeladat sorszáma: 1 A ALU egység 8 regiszterrel és 8 utasítással Minimálisan az alábbi képességekkel rendelkezzen az ALU 8-bites operandusok Aritmetikai funkciók: összeadás, kivonás, shift, komparálás

Részletesebben

Perifériák hozzáadása a rendszerhez

Perifériák hozzáadása a rendszerhez Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

A Számítógépek hardver elemei

A Számítógépek hardver elemei Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerő perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi

Részletesebben

assume CS:Code, DS:Data, SS:Stack Start mov dl, 100 mov dh, 100 push dx Rajz

assume CS:Code, DS:Data, SS:Stack Start mov dl, 100 mov dh, 100 push dx Rajz Feladat5: rajzolo.asm Feladat meghatározása A feladat célja bemutatni egy egyszerű grafikai program segítségével a közvetlen címzést (grafikus VGA 320*200). A program a kurzor mozgató gombok segítségével

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

Aritmetikai utasítások I.

Aritmetikai utasítások I. Aritmetikai utasítások I. Az értékadó és aritmetikai utasítások során a címzési módok különböző típusaira látunk példákat. A 8086/8088-as mikroprocesszor memóriája és regiszterei a little endian tárolást

Részletesebben

TRP-C24 Felhasználói Kézikönyv

TRP-C24 Felhasználói Kézikönyv TRP-C24 Felhasználói - 1 - Tartalomjegyzék 1. Bevezető...3 2. Tulajdonságok...3 3. Specifikációk...3 4. Kommunikációs csatlakozók...4 5. Csatlakozók lábkiosztása...5 6. Funkciók...5 7. Kapcsolódó termékek...6

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Megszakítások és kivételek

Megszakítások és kivételek Megszakítások és kivételek Megszakítások Megszakítás a számítási rendszernek küldött jelzés, mely valamilyen esemény felléptéről értesíti. Egy megszakítás felléptekor a rendszer: megszakítja az aktív program

Részletesebben

Digitális technika (VIMIAA01) Laboratórium 10

Digitális technika (VIMIAA01) Laboratórium 10 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között -0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez -1 Kommunikáció LG PMU és LG GLOFA-GM / GM között -1-1 PLC programozó csatlakozója ( CPU loader port ) -1- PLC beépített C-NET csatlakozója (CPU C-net) -1-

Részletesebben

Egyszerű számítógép működése

Egyszerű számítógép működése gyszerű számítógép működése gy Neumann és egy Harvard arcitektúrájú számítógép egyszerűsített blokkvázlatát mutatják az alábbi ábrák. Neumann architektúra cím busz környezet CPU ROM RAM perifériák órajel

Részletesebben

LED DRIVER 6. 6 csatornás 12-24V-os LED meghajtó. (RDM Kompatibilis) Kezelési útmutató

LED DRIVER 6. 6 csatornás 12-24V-os LED meghajtó. (RDM Kompatibilis) Kezelési útmutató LED DRIVER 6 6 csatornás 12-24V-os LED meghajtó (RDM Kompatibilis) Kezelési útmutató Tartsa meg a dokumentumot, a jövőben is szüksége lehet rá! rev 2 2015.09.30 DEZELECTRIC LED DRIVER Bemutatás A LED DRIVER

Részletesebben