A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

Hasonló dokumentumok
A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

Dr. Oniga István DIGITÁLIS TECHNIKA 8

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

10. Digitális tároló áramkörök

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA

EB134 Komplex digitális áramkörök vizsgálata

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

Digitális technika - Ellenőrző feladatok

1. Kombinációs hálózatok mérési gyakorlatai

Aszinkron sorrendi hálózatok

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen,

Véges állapotú gépek (FSM) tervezése

DIGITÁLIS TECHNIKA feladatgyűjtemény

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla

DIGITÁLIS TECHNIKA II

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Szekvenciális hálózatok és automaták

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

Véges állapotú gépek (FSM) tervezése

Digitális technika (VIMIAA01) Laboratórium 4

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

DIGITÁLIS TECHNIKA I

Digitális technika házi feladat III. Megoldások

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók

Az f függvénynek van határértéke az x = 2 pontban és ez a határérték 3-mal egyenl½o lim f(x) = 3.

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

6. hét: A sorrendi hálózatok elemei és tervezése

Előadó: Nagy István (A65)

DIGITÁLIS TECHNIKA II

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

2. Digitális hálózatok...60

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5

Versenyző kódja: 27 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

1. Visszacsatolás nélküli kapcsolások

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

Kalkulus II., harmadik házi feladat

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

DIGITÁLIS TECHNIKA II

7.hét: A sorrendi hálózatok elemei II.

Matematika OKTV I. kategória 2017/2018 második forduló szakgimnázium-szakközépiskola

SZILÁRDSÁGTAN A minimum teszt kérdései a gépészmérnöki szak egyetemi ágon tanuló hallgatói részére (2004/2005 tavaszi félév, szigorlat)

Versenyző kódja: 31 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

DIGITÁLIS TECHNIKA II

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok)

ELEKTRONIKAI ALAPISMERETEK

VEZÉRLŐEGYSÉGEK. Tartalom

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

Digitálistechnika II. 1. rész

Funkcionális áramkörök vizsgálata

ÍRÁSBELI FELADAT MEGOLDÁSA

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

PAL és s GAL áramkörök

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális rendszerek. Mikroarchitektúra szintje

RC tag mérési jegyz könyv

Hazárdjelenségek a kombinációs hálózatokban

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR

Szekvenciális hálózatok Állapotdiagram

hét Sorrendi hálózatok tervezési lépései: szinkron aszinkron sorrendi hálózatok esetén

MAGYARÁZAT A MATEMATIKA NULLADIK ZÁRTHELYI MINTAFELADATSOR FELADATAIHOZ 2010.

Véges állapotú gépek (FSM) tervezése

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3.

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3

Versenyző kódja: 30 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Digitális technika VIMIAA02

Versenyző kódja: 31 15/2008. (VIII. 13) SZMM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny

1. EGY- ÉS KÉTVÁLTOZÓS LOGIKAI ELEMEK KAPCSOLÁSTECHNIKÁJA ÉS JELÖLŐRENDSZERE

Digitális technika VIMIAA02

ELEKTRONIKAI ALAPISMERETEK

MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. Elődöntő KOMPLEX ÍRÁSBELI FELADATSOR MEGOLDÁSA

5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK

Digitális technika VIMIAA01 5. hét

KÁOSZ EGY TÁLBAN Tóthné Juhász Tünde Karinthy Frigyes Gimnázium (Budapest) Gócz Éva Lónyai Utcai Református Gimnázium

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

DIGITÁLIS TECHNIKA I PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ HOGYAN HASZNÁLHATÓ EGY 4/16-OS DEKÓDER 3/8-AS DEKÓDERKÉNT? D 2 3 DEKÓDER BŐVÍTÉS

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

ELEKTRONIKAI ALAPISMERETEK

2) Írja fel az alábbi lineáris függvény grafikonjának egyenletét! (3pont)

IRÁNYÍTÁSTECHNIKA I.

ELEKTRONIKAI ALAPISMERETEK

Átírás:

2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje! z alábbi kérdésekre a válaszokat ahol lehet mindig a feladatlapon oldja meg! feladatok megoldása során a részletes kidolgozást nagfeladatonként külön papíron végezze, (egértelm en jelölje, hog melik lap melik feladathoz tartozik) és ezeket a papírokat is adja be a dolgozatával! kérdésekre a táblázatok vag a pontozott vonalak értelemszer kitöltésével válaszoljon, hacsak külön másként nem kérjük Jó munkát! E Rajzoljon le funkcionális elemek segítségével eg olan egséget, mel összead 2db 8 bites 2es komplemens számot (7, 7), az eredmén az S7S kimeneteken jelenik meg (a 7 es bit az MS)! megvalósításhoz rendelkezésre áll 2 db 4 bites összeadó (I3I, I3I bemenetek, I bemenet, O kimenet, SO3SO kimenetek) (2p) E: F: F2: F3: : S7 S4 MS 7 4 S3 S 3 LS o (S8) SO I3 I2 I I SO SO2 SO3 o I3 I2 I I i SO I3 I2 I I SO SO2 SO3 o I3 I2 I I i 7 4 3 E2 z alábbi kapcsolás eg számláló bels felépítését mutatja (4p) a Szinkron, vag aszinkron a számláló?szinkron b Mekkora a számláló modulusa? 8 c Fel, vag le számlál az egség? le d Mi a szerepe az Yal jelölt bemenetnek és a vel jelölt kimenetnek? Y= engedélez, az alulcsordulást jelzi Y E3 a Milen funkcionális elemb l alakították ki az alábbi számlálót? (p) shiftregiszter b dja meg a számláló modulusát! (p) 6 a b c SI

E4 Készítsen 2db 6 kbteos RMból eg 32 kbteos egséget! kialakítandó egség jelei: 4,, /S, /RD etsz leges kapukat is felhasználhat (3p) 3 3 /RD RD 3 /RD RD 3 esetleg /S = 5 /S= /5 is elfogadható S S /S /S /S 5 /S 5 E5 Mel állítások igazak és melek hamisak? Jelölje +al az igaz, al a hamis állításokat! (4p) Ha eg 4 bites shiftregiszter kimenetére eg dekódert kapcsolunk, a dekóder kimenetein + hazárdok jelenhetnek meg 2 Uganaz a folamatábra számláló tipusú vezérl vel kialakítva kevesebb, vag ugananni bemenet feltétel multipleert igénel, mint mikroprogramozottal 3 PLval nem alakítható ki hazárdmentes kombinációs hálózat 4 Eg vezérl engedélez tipusú kimeneti jele felhasználható számláló órajeleként Feladatok: F ervezze meg eg olan egség részletes funkcionális blokkvázlatát, amel megkeresi eg 256 bteos ban lev, az alább megadott feltételeket kielégít els adatot és kiadja ennek címét a kimenetén Ha talált ilen számot, azt a JELE kimeneten adott gel mutatja Ha végzett a feladattal, azt a REDY kimenetén adott el jelzi és leáll a m ködés megtalált szám címe az kimeneten jelenik meg feltételek: a megkeresend szám a ban a ás címen található szám szerese ban lev adatokat 2es komplemens kódban értelmezzük feltétel logikát a blokkvázlaton eg blokk jelölje, s külön rajzolja le a blokk részletes bels felépítését folamat a SR bemeneten érkez, legalább eg órajel periódus ideig tartó impulzus hatására indul z áramkör összes bemenete és kimenete magas aktív z kimenet értéke a feltétel teljesüléséig tetsz leges z áramkört eg a bekapcsoláskor aktivizálódó jel hozza alaphelzetbe 7 SR JELE REDY a Külön lapon rajzolja le az áramkör részletes funkcionális blokkvázlatát! (3p) b Írja le az áramkör m ködését! (2p) REDY JELE = feltétel kiértékelõ 8 addr KOMP Y H szinkr JELE S 7 7 D7 S OE REG SR 2es komplemens képzõ addr 2

F2 dott eg 4 bites engedélezhet (= engedélez) szinkron töltés (= tölt), 2es modulusú, felfutó él érzéken felle (= fel) számláló, melnek =/(/MXMIN) ripple clock outputja, és MXMIN=3/ + /3//// kimenete is van Két számlálót kaszkádosítottunk, az alábbi ábra szerinti módon z alábbi kérdéseknél a számláló állapotait headecimási számokat használva adjuk meg, ill várjuk (5p) 9 8 MS 7 6 5 4 3 R2 2 3 2es SÁML D D R 3 2es SÁML D D R 3 2es SÁML D D D D D9 D8 D7 D6 D5 D4 D D a Egenl re a külön álló 3 számlálótól tekintsen el Mekkora a 2 számlálóból álló egség modulusa? (p) Modulus: 2*2=44 b Rajzolja le a megadott jelek id diagramját, az alábbi ábrán felrajzolt vezérlések esetén, ha = és a bementeken folamatosan érték van 7 kimenethez írja be a számláló állaptát is, headecimális számok segítségével! (5p) 7 c Kaszkádosítsa a felrajzolt 3 számlálót is az el z 2 egséghez szinkron módon (2p) d Egészítse ki a cpontban kialakult 3 számlálóból álló egséget hog az a következ módon m ködjön (7p): Eg SR jel megsz nését követ en az OU kimenete be meg, majd megadható N számú órajelet követ en újra lesz 3 digites N szám 2es számrendszerben adható meg kiegészítéshez kapukat és eg flipflopot használhat örekedjen az egszer ségre, lehet leg kevés kaput és a feladathoz illeszked flipflop tipust válasszon! kapcsolást külön lapon adja be! Röviden írja le az áramkör m ködését! 3

4 MS 3 D D 4 5 6 7 D4 D5 D6 D7 R R D D 3 8 9 D8 D9 D D 2es SÁML 2 R2 D D 3 2es SÁML D D 3 2es SÁML J K SR OU Min Min /OU

F3 Valósítsa meg a következ folamatábrát a megadott mikroprogramozott vezérl vel! (5p) a dja meg a mikroprogramot a táblázat kitöltésével! artsa be a megadott állapotkódolást! (9p) ímek: 2 3 4 5 6 7 2 MS Jc Jb Ja c b a REG c b a Jc Jb Ja (aszinkron) MPX a Ya b c Yb a Yc b S c F Ja Jb Jc Ja Jb Jc MS 2 3 4 SR 5, 6 7 Y MPX S F c b SHR a Si / b vezérl bemenetére eg shiftregiszter c kimenete kapcsolódik shiftregiszter a a>b>c iránban shiftel, szinkron törlését a vezérl engedélez tipusú kimenete végzi Rajzolja le az alábbi jelek id diagramját, ha = (6p) állapot 2 3 SHR Maimális pontszám: 6 pont Rendelkezésre álló id : perc 5