2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához"

Átírás

1 XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput használhat. kimeneteket helyérték sorrendben,, -vel jelölje! Ábrázolja igazságtáblázatban a számlálási állapotokat, határozza meg a tárolók negált aktív szintű törlő (l) és beállító (Pr) bemeneteinek minimalizált alakú vezérlési függvényét! Rajzolja meg a számláló logikai vázlatát! ) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput használhat. kimeneteket helyérték sorrendben,, -vel jelölje! Ábrázolja igazságtáblázatban a számlálási állapotokat, határozza meg a tárolók negált aktív szintű törlő (l) és beállító (Pr) bemeneteinek minimalizált alakú vezérlési függvényét! Rajzolja meg a számláló logikai vázlatát! ) Tervezzen iken kód szerint működő, aszinkron decimális hátra számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput használhat! kimeneteket helyérték sorrendben,, -vel jelölje! Ábrázolja igazságtáblázatban a számlálási állapotokat, határozza meg a tárolók negált aktív szintű törlő (l) és beállító (Pr) bemeneteinek minimalizált alakú vezérlési függvényét! Rajzolja meg a számláló logikai vázlatát! 4) Módosítsa egy bites, szinkron bináris előre számláló ciklusát úgy, hogy a legnagyobb helyértékű kimenetén /5 kitöltési tényezőjű impulzussorozat jelenjen meg! megvalósításhoz pozitív élvezérelt T típusú tárolót és tetszőleges kapukat használhat! kimeneteket helyérték sorrendben,, -vel jelölje! Ábrázolja igazságtáblázatban a számlálási állapotokat, határozza meg a tárolók negált aktív szintű törlő (l) és beállító (Pr) bemeneteinek minimalizált alakú vezérlési függvényét! Rajzolja meg a számláló logikai vázlatát! Mekkora frekvenciájú órajel esetén lesz a kimeneti impulzussorozat ismétlődési ideje 5 µs? 5) Tervezzen bites szinkron hálózatot állapotsorozatra! megvalósításhoz pozitív élvezérelt típusú tárolókat és tetszőleges kapukat használhat! bináris kimeneteket helyérték sorrendben,, -vel jelölje! Vegye fel a hálózat állapot átmeneti táblázatát és minimalizálja a és bemenetek vezérlési függvényeit V_ táblával! Rajzolja meg a hálózat logikai vázlatát! Határozza meg a hálózat működését a nem definiált állapotokban! 6) Tervezzen pozitív élvezérelt tárolók és tetszőleges kapuk felhasználásával bites szinkron hálózatot 7... állapotsorozatra! kimeneteket,, -vel jelölje! Vegye fel a hálózat állapot átmeneti táblázatát és minimalizálja a és bemenetek vezérlési függvényeit V_ táblával! Rajzolja meg a hálózat logikai vázlatát! Határozza meg a hálózat működését a nem definiált állapotokban! IGITÁLIS ELETRONI

2 XIII. szekvenciális hálózatok tervezése 7) Tervezzen pozitív élvezérelt tárolók és tetszőleges kapuk felhasználásával bites szinkron hálózatot... állapotsorozatra! kimeneteket, - vel jelölje! Vegye fel a hálózat állapot átmeneti táblázatát és határozza meg a bemenetek vezérlési függvényét! Rajzolja meg a hálózat logikai vázlatát! 8) Tervezzen (Gray kód) állapotsorozatra bites szinkron hálózatot! = helyértéken T típusú, = helyértéken típusú és a = helyértéken típusú tárolót használjon! visszacsatoló kombinációs hálózatot tetszőleges kapukból építse meg! Vegye fel a hálózat állapot átmeneti táblázatát és minimalizálja grafikusan a tárolók vezérlési függvényeit! Rajzolja meg a hálózat logikai vázlatát! Rajzolja meg a hálózat ütem diagramját! 9) Tervezzen az adott ütem diagram alapján szinkron hálózatot, pozitív élvezérelt tárolók és tetszőleges kapuk felhasználásával. Vegye fel a hálózat állapot átmeneti táblázatát és minimalizálja grafikusan a tárolók vezérlési függvényeit! = helyérték. Határozza meg a nem definiált állapotokban a hálózat működését és rajzolja fel a teljes bináris állapot diagrammot! ) Tervezzen programozható, 4 bites szinkron bináris előre számláló és kapuk felhasználásával hálózatot, mely állapotsorozatot állítja elő. számlálónak,,, adat, órajellel szinkronizált negált aktív szintű Pl párhuzamos beírás és l törlés vezérlő, valamint pozitív élvezérlésű órajel bemenő jelei vannak. bináris kimenetek jelölése,,. Ábrázolja igazságtáblázatban a számlálási állapotokat, határozza meg az adat és vezérlő bemenetekre csatlakozó hálózatok függvényeit! Rajzolja meg a teljes kapcsolást! ) Tervezzen programozható, 4 bites szinkron bináris előre számláló és tetszőleges kapuáramkörök felhasználásával hálózatot, mely állapotsorozatot állítja elő. számlálónak,,, adat, órajellel szinkronizált negált aktív szintű Pl (párhuzamos beírás) és l (törlés) vezérlő, valamint pozitív élvezérlésű órajel bemenő jelei vannak. bináris kimenetek jelölése,,. Ábrázolja igazságtáblázatban a számlálási állapotokat, határozza meg az adat és vezérlő bemenetekre csatlakozó hálózatok függvényeit! Rajzolja meg a teljes kapcsolást! Határozza meg kimeneten megjelenő impulzussorozat kitöltési tényezőjét! *** IGITÁLIS ELETRONI

3 XXVIII. szekvenciális hálózatok tervezése megoldás ) áll. /l 4 5 h ) ) 4) áll. /l /Pr - h - h - h h - h áll. /l /Pr 4 - h - h - h - h - h áll. /l - h - h - T 5T f L L 5 T 5 khz 5 S l Pr l Pr l l l Pr l l l l l l IGITÁLIS ELETRONI

4 XXVIII. szekvenciális hálózatok tervezése megoldás 4 5) n. áll. n+. áll. h h h h h h h h h h h h h h h h h h nem definiált állapotok: 6) n. áll. n+. áll. h h h h h h h h h h h h h h h nem definiált állapotok: 7) n. áll. n+. áll. IGITÁLIS ELETRONI

5 XXVIII. szekvenciális hálózatok tervezése megoldás 5 8) T ( ) n. áll. n+. áll. T h h h h h h h h 9) n. áll. n+. áll. h h h h h h h h h h h h h h h nem definiált állapotok: IGITÁLIS ELETRONI

6 XXVIII. szekvenciális hálózatok tervezése megoldás 6 ) áll. /Ld Ld - h - h h - h - h - h - h - h (5 nél) ( nál) ) áll. /Ld /l - h h - h h - h h - h h h h - h h - h h - h h - h h - h h Ld l ( nél) 6,66% 6 *** IGITÁLIS ELETRONI

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA

EBBEN A VIZSGARÉSZBEN A VIZSGAFELADAT ARÁNYA Az Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről szóló 133/2010. (IV. 22. ) Korm. rendelet alapján. Szakképesítés, szakképesítés-elágazás, rész-szakképesítés,

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

10. Digitális tároló áramkörök

10. Digitális tároló áramkörök 1 10. Digitális tároló áramkörök Azokat a digitális áramköröket, amelyek a bemeneteiken megjelenő változást azonnal érvényesítik a kimeneteiken, kombinációs áramköröknek nevezik. Ide tartoznak az inverterek

Részletesebben

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: 1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS: A legegyszerűbb alak megtalálása valamilyen egyszerűsítéssel lehetséges (algebrai, Karnaugh, Quine stb.). Célszerű

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

1. Az adott kifejezést egyszerűsítse és rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben.

1. Az adott kifejezést egyszerűsítse és rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. 1 1. z adott kifejezést egyszerűsítse és rajzolja le a lehető legkevesebb eleel, a legegyszerűbben. F függvény 4 változós. MEGOLÁS: legegyszerűbb alak egtalálása valailyen egyszerűsítéssel lehetséges algebrai,

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók

Számlálók és frekvenciaosztók Szinkron, aszinkron számlálók Szinkron, aszinkron számlálók szekvenciális hálózatok egyik legfontosabb csoportja a számlálók. Hasonlóan az 1 és 0 jelölésekhez a számlálók kimenetei sem interpretálandók mindig számként, pl. a kimeneteikkel

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGIÁLIS ECHNIA II r Lovassy Rita r Pődör Bálint Óbudai Egyetem V Mikroelektronikai és echnológia Intézet 3 ELŐAÁS 3 ELŐAÁS ELEMI SORRENI HÁLÓZAO: FLIP-FLOPO (2 RÉSZ) 2 AZ ELŐAÁS ÉS A ANANYAG Az előadások

Részletesebben

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 28 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási/áramköri/tervezési

Részletesebben

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6 Informatika alapjai-5 Logikai áramkörök 1/6 Logikai áramkörök Az analóg rendszerekben például hangerősítő, TV, rádió analóg áramkörök, a digitális rendszerekben digitális vagy logikai áramkörök működnek.

Részletesebben

A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III. 28.) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján.

A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III. 28.) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján. A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III. 28.) NGM rendelet által módosított) szakmai és vizsgakövetelménye alapján. Szakképesítés, azonosító száma és megnevezése 54 523 01 Automatikai technikus

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS NORMÁL BCD KÓD Természetes kód - Minden számjegyhez a 4-bites bináris kódját

Részletesebben

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS ELŐÍRT TANKÖNYV-IRODALOM Sorrendi hálózatok, flip-flopok, regiszterek, számlálók,

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

Digitális technika házi feladat III. Megoldások

Digitális technika házi feladat III. Megoldások IV. Szinkron hálózatok Digitális technika házi feladat III. Megoldások 1. Adja meg az alábbi állapottáblával megadott 3 kimenetű sorrendi hálózat minimális állapotgráfját! a b/x1x c/x0x b d/xxx e/x0x c

Részletesebben

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

Számítógép architektúrák 2. tétel

Számítógép architektúrák 2. tétel Számítógép architektúrák 2. tétel Elemi sorrendi hálózatok: RS flip-flop, JK flip-flop, T flip-flop, D flip-flop, regiszterek. Szinkron és aszinkron számlálók, Léptető regiszterek. Adatcímzési eljárások

Részletesebben

Versenyző kódja: 7 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny.

Versenyző kódja: 7 27/2012. (VIII. 27.) NGM rendelet MAGYAR KERESKEDELMI ÉS IPARKAMARA. Országos Szakmai Tanulmányi Verseny. 54 523 02-2017 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet : Számolási,

Részletesebben

6. hét Szinkron hálózatok tervezése és viszgálata

6. hét Szinkron hálózatok tervezése és viszgálata 6. hét Szinkron hálózatok tervezése és viszgálata 6.1. Bevezetés A szinkron sorrendi hálózatok kapcsán a korábbiakban leszögeztük, hogy a hálózat az alábbi módon épül fel: Bemenetek A Kombinációs hálózat

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

Szekvenciális hálózatok Állapotdiagram

Szekvenciális hálózatok Állapotdiagram Szekvenciális hálózatok Állapotdiagram A kombinatorikus hálózatokra jellemző: A kimeneti paramétereket kizárólag a mindenkori bemeneti paraméterek határozzák meg, a hálózat jellegének, felépítésének megfelelően

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:... 2 év hó nap NÉV:MEGOÁSneptun kód: feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás: Kedves Kolléga! kitöltést a dátum, név és aláírás rovatokkal kezdje!

Részletesebben

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék Miskolci Egyetem Gépészmérnöki és Informatikai Kar 2019/2020. tanév I. félév Automatizálási és Kommunikáció- Technológiai Tanszék Digitális rendszerek I. c. tantárgy előadásának és gyakorlatának ütemterve

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 11. ELŐADÁS 1 PÉLDA: 3 A 8 KÖZÜL DEKÓDÓLÓ A B C E 1 E 2 3/8 O 0 O 1

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév (2011-11-27) Az ellenőrző mérésen az alábbiakhoz hasonló feladatokat kapnak a hallgatók (nem feltétlenül ugyanazeket). Logikai analizátor

Részletesebben

EB134 Komplex digitális áramkörök vizsgálata

EB134 Komplex digitális áramkörök vizsgálata EB34 Komplex digitális áramkörök vizsgálata BINÁRIS ASZINKRON SZÁMLÁLÓK A méréshez szükséges műszerek, eszközök: - EB34 oktatókártya - db oszcilloszkóp (6 csatornás) - db függvénygenerátor Célkitűzés A

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Azonosító jel NSZI 0 6 0 6 OKTATÁSI MINISZTÉRIUM Szakmai előkészítő érettségi tantárgyi verseny 2006. április 19. ELEKTRONIKAI ALAPISMERETEK DÖNTŐ ÍRÁSBELI FELADATOK Az írásbeli időtartama: 240 perc 2006

Részletesebben

Tájékoztató. Használható segédeszköz: számológép

Tájékoztató. Használható segédeszköz: számológép A 27/2012 (VIII. 27.) NGM rendelet (12/2013 (III.28) NGM rendelet által módosított) és a 27/2012 (VIII. 27.) NGM rendelet a 29/2016 (III.26.) NMG rendelet által módosított szakmai és vizsgakövetelménye

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2005. május 20. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI MINISZTÉRIUM Elektronikai

Részletesebben

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3.

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Szinkron hálózatok D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Irodalom: Arató Péter: Logikai rendszerek. Tankönyvkiadó, Bp. 1985. J.F.Wakerley: Digital Design. Principles and Practices; Prentice

Részletesebben

A/D és D/A konverterek vezérlése számítógéppel

A/D és D/A konverterek vezérlése számítógéppel 11. Laboratóriumi gyakorlat A/D és D/A konverterek vezérlése számítógéppel 1. A gyakorlat célja: Az ADC0804 és a DAC08 konverterek ismertetése, bekötése, néhány felhasználási lehetőség tanulmányozása,

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TEHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Passzív alkatrészek és passzív áramkörök. Elmélet A passzív elektronikai alkatrészek elméleti ismertetése az. prezentációban található. A 2. prezentáció

Részletesebben

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen,

MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc. Debrecen, MINTA Írásbeli Záróvizsga Mechatronikai mérnök MSc Debrecen, 2017. 01. 03. Név: Neptun kód: Megjegyzések: A feladatok megoldásánál használja a géprajz szabályait, valamint a szabványos áramköri elemeket.

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2013. október 14. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2013. október 14. 14:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

A Gray-kód Bináris-kóddá alakításának leírása

A Gray-kód Bináris-kóddá alakításának leírása A Gray-kód Bináris-kóddá alakításának leírása /Mechatronikai Projekt II. házi feladat/ Bodogán János 2005. április 1. Néhány szó a kódoló átalakítókról Ezek az eszközök kiegészítő számlálók nélkül közvetlenül

Részletesebben

IRÁNYÍTÁSTECHNIKA I.

IRÁNYÍTÁSTECHNIKA I. IRÁNÍTÁSTEHNIK I. 5 éves Sc kurzus Összeállította: Dr. Tarnai Géza egetemi tanár udapest, 8. Rendszer- és iránításelméleti ismeretek. félév. félév Diszkrét állapotú rendszerek, logikai hálózatok Foltonos

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI ÉRETTSÉGI VIZSGA VIZSGA 2006. október 2006. 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2006. október 24. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2011. október 17. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2011. október 17. 14:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Elméleti anyag: Amikor a hazárd jó: élekből impulzus előállítás Sorrendi hálózatok alapjai,

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 4

Dr. Oniga István DIGITÁLIS TECHNIKA 4 Dr. Oniga István DIGITÁLIS TECHNIKA 4 Kombinációs logikai hálózatok Logikai hálózat = olyan hálózat, melynek bemenetei és kimenetei logikai állapotokkal jellemezhetők Kombinációs logikai hálózat: olyan

Részletesebben

DIGITÁLIS TECHNIKA I

DIGITÁLIS TECHNIKA I DIGITÁLIS TECHNIKA I Dr. Kovács Balázs Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS Arató Péter: Logikai rendszerek tervezése, Tankönyvkiadó,

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2011. október 17. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2011. október 17. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati NEMZETI ERŐFORRÁS

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK É RETTSÉGI VIZSGA 2005. október 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2005. október 24., 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2009. október 19. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2009. október 19. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS

Részletesebben

ÁGAZATI SZAKMAI ÉRETTSÉGI VIZSGA VILLAMOSIPAR ÉS ELEKTRONIKA ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ

ÁGAZATI SZAKMAI ÉRETTSÉGI VIZSGA VILLAMOSIPAR ÉS ELEKTRONIKA ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ VILLAMOSIPAR ÉS ELEKTRONIKA ISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ I. feladatlap Egyszerű, rövid feladatok megoldása Maximális pontszám: 40. feladat 4 pont

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Név:... osztály:... ÉRETTSÉGI VIZSGA 2006. május 18. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2006. május 18. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2007. május 25. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2007. május 25. 8:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA 1. Egyenáramú körök Követelmények, matematikai alapok, prefixumok Töltés, áramerősség Feszültség Ellenállás és vezetés. Vezetők, szigetelők Áramkör fogalma Áramköri

Részletesebben

Adatfeldolgozó rendszer tervezése funkcionális elemekkel

Adatfeldolgozó rendszer tervezése funkcionális elemekkel Adatfeldolgozó rendszer tervezése funkcionális elemekkel F1. Tervezzünk egy adatbányász egységet, amely egy 256x8 bites ROM adattároló memóriában megkeresi a megadott keresési feltételnek megfelelő legelső

Részletesebben

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.

A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése. Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit

Részletesebben

ÍRÁSBELI FELADAT MEGOLDÁSA

ÍRÁSBELI FELADAT MEGOLDÁSA 54 523 02-2016 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT MEGOLDÁSA Szakképesítés: 54 523 02 SZVK rendelet száma: 27/2012. (VIII. 27.) NGM rendelet

Részletesebben

PAL és s GAL áramkörök

PAL és s GAL áramkörök Programozható logikai áramkörök PAL és s GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó,

Részletesebben

Véges állapotú gépek (FSM) tervezése

Véges állapotú gépek (FSM) tervezése Véges állapotú gépek (FSM) tervezése F1. A 2. gyakorlaton foglalkoztunk a 3-mal vagy 5-tel osztható 4 bites számok felismerésével. Abban a feladatban a bemenet bitpárhuzamosan, azaz egy időben minden adatbit

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

DIGITÁLIS TECHNIKA I LOGIKAI FÜGGVÉNYEK KANONIKUS ALAKJA

DIGITÁLIS TECHNIKA I LOGIKAI FÜGGVÉNYEK KANONIKUS ALAKJA 206.0.08. IGITÁLIS TEHNIK I r. Lovassy Rita r. Pődör álint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 5. ELŐÁS 5. ELŐÁS. z előzőek összefoglalása: kanonikus alakok, mintermek, maxtermek,

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK Azonosító jel NSZI 0 6 0 6 OKTATÁSI MINISZTÉRIUM Szakmai előkészítő érettségi tantárgyi verseny 2006. február 23. ELEKTRONIKAI ALAPISMERETEK ELŐDÖNTŐ ÍRÁSBELI FELADATOK Az írásbeli időtartama: 180 perc

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Fixpontos számok Pl.: előjeles kétjegyű decimális számok : Ábrázolási tartomány: [-99, +99]. Pontosság (két szomszédos szám különbsége): 1. Maximális hiba: (az ábrázolási tartományba eső) tetszőleges valós

Részletesebben

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest?

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Név: Logikai kapuk Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest? Ha a logikai változókat állású kapcsolókkal helyettesítené, ezek milyen módon való kapcsolásával

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2007. október 24. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2007. október 24. 14:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TECHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 4. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató P.: Logikai rendszerek tervezése (171-189

Részletesebben

2.3. Soros adatkommunikációs rendszerek CAN (Harmadik rész alapfogalmak II.)

2.3. Soros adatkommunikációs rendszerek CAN (Harmadik rész alapfogalmak II.) 2.3. Soros adatkommunikációs rendszerek CAN (Harmadik rész alapfogalmak II.) 2. Digitálistechnikai alapfogalmak II. Ahhoz, hogy valamilyen szinten követni tudjuk a CAN hálózatban létrejövő információ-átviteli

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2015. május 19. ELEKTRONIKAI ALAPISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA 2015. május 19. 8:00 Az írásbeli vizsga időtartama: 240 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

DIGITÁLIS TECHNIKA I. Kutatók éjszakája szeptember ÁLTALÁNOS BEVEZETÉS A TANTÁRGY IDŐRENDI BEOSZTÁSA DIGITÁLIS TECHNIKA ANGOLUL

DIGITÁLIS TECHNIKA I. Kutatók éjszakája szeptember ÁLTALÁNOS BEVEZETÉS A TANTÁRGY IDŐRENDI BEOSZTÁSA DIGITÁLIS TECHNIKA ANGOLUL DIGITÁLIS TECHNIKA I Dr. Lovassy Rita Dr. Pődör Bálint Kutatók éjszakája 2016. szeptember 30. Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 1. ELŐADÁS: BEVEZETÉS A DIGITÁLIS TECHNIKÁBA 1

Részletesebben

DIGITÁLIS TECHNIKA A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (1) ÁLTALÁNOS BEVEZETÉS A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (3)

DIGITÁLIS TECHNIKA A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (1) ÁLTALÁNOS BEVEZETÉS A FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (3) DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 1. ELŐADÁS: BEVEZETÉS A DIGITÁLIS TECHNIKÁBA 1. Általános bevezetés. 1. ELŐADÁS 2. Bevezetés

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2016. május 18. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2016. május 18. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati EMBERI ERŐFORRÁSOK

Részletesebben

DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások

DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások III. Kombinációs hálózatok 1. Tervezzen kétbemenetű programozható kaput! A hálózatnak két adatbenemete (a, b) és két funkcióbemenete (f, g) van. A kapu

Részletesebben

MUNKAANYAG. Juhász Róbert. Funkcionális áramkörök. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása

MUNKAANYAG. Juhász Róbert. Funkcionális áramkörök. A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása Juhász Róbert Funkcionális áramkörök A követelménymodul megnevezése: Elektronikai áramkörök tervezése, dokumentálása A követelménymodul száma: 0917-06 A tartalomelem azonosító száma és célcsoportja: SzT-020-50

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Előadó: Dr. Bede Zsuzsanna, adjunktus Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St.

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 2008. május 26. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 2008. május 26. 8:00 Az írásbeli vizsga időtartama: 180 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

8. Laboratóriumi gyakorlat INKREMENTÁLIS ADÓ

8. Laboratóriumi gyakorlat INKREMENTÁLIS ADÓ 8. Laboratóriumi gyakorlat INKREMENTÁLIS ADÓ 1. A gyakorlat célja: Az inkrementális adók működésének megismerése. Számítások és szoftverfejlesztés az inkrementális adók katalógusadatainak feldolgozására

Részletesebben

ELEKTRONIKAI ALAPISMERETEK

ELEKTRONIKAI ALAPISMERETEK ÉRETTSÉGI VIZSGA 200. május 4. ELEKTRONIKAI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI VIZSGA 200. május 4. 8:00 Az írásbeli vizsga időtartama: 80 perc Pótlapok száma Tisztázati Piszkozati OKTATÁSI ÉS KULTURÁLIS

Részletesebben

DIGITÁLIS TECHNIKA I 1. ELİADÁS A DIGITÁLIS TECHNIKA TANTÁRGY CÉLKITŐZÉSEI ÁLTALÁNOS BEVEZETÉS AZ 1. FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (2)

DIGITÁLIS TECHNIKA I 1. ELİADÁS A DIGITÁLIS TECHNIKA TANTÁRGY CÉLKITŐZÉSEI ÁLTALÁNOS BEVEZETÉS AZ 1. FÉLÉV TEMATIKAI VÁZLATA ÉS ISMERETANYAGA (2) DIGITÁLIS TECHNIKA I Dr. Pıdör Bálint BMF KVK Mikroelektronikai és Technológia Intézet 1. ELİADÁS: BEVEZETÉS A DIGITÁLIS TECHNIKÁBA 1. ELİADÁS 1. Általános bevezetés az 1. félév anyagához. 2. Bevezetés

Részletesebben

Analóg-digitál átalakítók (A/D konverterek)

Analóg-digitál átalakítók (A/D konverterek) 9. Laboratóriumi gyakorlat Analóg-digitál átalakítók (A/D konverterek) 1. A gyakorlat célja: Bemutatjuk egy sorozatos közelítés elvén működő A/D átalakító tömbvázlatát és elvi kapcsolási rajzát. Tanulmányozzuk

Részletesebben

1. EGY- ÉS KÉTVÁLTOZÓS LOGIKAI ELEMEK KAPCSOLÁSTECHNIKÁJA ÉS JELÖLŐRENDSZERE

1. EGY- ÉS KÉTVÁLTOZÓS LOGIKAI ELEMEK KAPCSOLÁSTECHNIKÁJA ÉS JELÖLŐRENDSZERE . EGY- ÉS KÉTVÁLTOZÓS LOGIKI ELEMEK KPCSOLÁSTECHNIKÁJ ÉS JELÖLŐRENDSZERE tananyag célja: z egy- és kétváltozós logikai függvények Boole algebrai szabályainak, kapcsolástechnikájának és jelölésrendszerének

Részletesebben

Elektronikai műszerész Elektronikai műszerész

Elektronikai műszerész Elektronikai műszerész A 10/007 (II. 7.) SzMM rendelettel módosított 1/006 (II. 17.) OM rendelet Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről alapján. Szakképesítés,

Részletesebben

Máté: Számítógép architektúrák

Máté: Számítógép architektúrák Bit: egy bináris számjegy, vagy olyan áramkör, amely egy bináris számjegy ábrázolására alkalmas. Bájt (Byte): 8 bites egység, 8 bites szám. Előjeles fixpontok számok: 2 8 = 256 különböző 8 bites szám lehetséges.

Részletesebben

Békéscsabai Kemény Gábor Logisztikai és Közlekedési Szakközépiskola "Az új szakképzés bevezetése a Keményben" TÁMOP-2.2.5.

Békéscsabai Kemény Gábor Logisztikai és Közlekedési Szakközépiskola Az új szakképzés bevezetése a Keményben TÁMOP-2.2.5. Szakképesítés: Log Autószerelő - 54 525 02 iszti Tantárgy: Elektrotechnikaelektronika Modul: 10416-12 Közlekedéstechnikai alapok Osztály: 12.a Évfolyam: 12. 32 hét, heti 2 óra, évi 64 óra Ok Dátum: 2013.09.21

Részletesebben

5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK

5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK 5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK A tananyag célja: a kódolással kapcsolatos alapfogalmak és a digitális technikában használt leggyakoribb típusok áttekintése ill. áramköri megoldások

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,

Részletesebben

NEMZETGAZDASÁGI MINISZTÉRIUM

NEMZETGAZDASÁGI MINISZTÉRIUM NEMZETGAZDASÁGI MINISZTÉRIUM Minősítés szintje: Érvényességi idő: 2016. 10. 05. 10 óra 00 perc a vizsgakezdés szerint. Minősítő neve, beosztása: Palotás József s.k. Nemzeti Szakképzési és Felnőttképzési

Részletesebben

ÁGAZATI SZAKMAI ÉRETTSÉGI VIZSGA KÖZLEKEDÉSAUTOMATIKAI ISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ

ÁGAZATI SZAKMAI ÉRETTSÉGI VIZSGA KÖZLEKEDÉSAUTOMATIKAI ISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ KÖZLEKEDÉSAUTOMATIKAI ISMERETEK EMELT SZINTŰ ÍRÁSBELI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ A MINTAFELADATOKHOZ Egyszerű, rövid feladatok Maximális pontszám: 40.) Töltse ki a táblázat üres celláit! A táblázatnak

Részletesebben

Analóg elektronika - laboratóriumi gyakorlatok

Analóg elektronika - laboratóriumi gyakorlatok Analóg elektronika - laboratóriumi gyakorlatok. Mûveleti erõsítõk egyenáramú jellemzése és alkalmazásai. Elmélet Az erõsítõ fogalmát valamint az integrált mûveleti erõsítõk szerkezetét és viselkedését

Részletesebben