Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Hasonló dokumentumok
Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Bevezetés az informatikába

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

1. Kombinációs hálózatok mérési gyakorlatai

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1

DIGITÁLIS TECHNIKA I BINÁRIS SZÁMRENDSZER BEVEZETŐ ÁTTEKINTÉS BINÁRIS SZÁMRENDSZER HELYÉRTÉK. Dr. Lovassy Rita Dr.

ISE makró (saját alkatrész) készítése

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész

1. Kombinációs hálózatok mérési gyakorlatai

Digitális elektronika gyakorlat

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

Összeadás BCD számokkal

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Nyolcbites számláló mintaprojekt

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

Digitális elektronika gyakorlat

3. gyakorlat. Kettes számrendszer: {0, 1} Tízes számrendszer: {0, 1, 2,..., 9} 16-os (hexadecimális számrendszer): {0, 1, 2,..., 9, A, B, C, D, E, F}

DIGITAL TECHNICS I. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute 12. LECTURE: FUNCTIONAL BUILDING BLOCKS III

A Picoblaze Core implementálása FPGA-ba

Segédlet az Informatika alapjai I. című tárgy számrendszerek fejezetéhez

Máté: Számítógép architektúrák

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz

SZÁMÉRTÉKEK (ÁT)KÓDOLÁSA

Mérési jegyzőkönyv. az ötödik méréshez

Digitális technika VIMIAA hét

2008. október 9. Verzió

Digitális technika (VIMIAA02) Laboratórium 2

Bevezetés az informatikába gyakorló feladatok Utoljára módosítva:

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ szeptember 19. Verzió

Digitális technika VIMIAA hét

10-es számrendszer, 2-es számrendszer, 8-as számrendszer, 16-os számr. Számjegyek, alapműveletek.

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Bevezetés az informatikába gyakorló feladatok Utoljára módosítva:

Név: Logikai kapuk. Előzetes kérdések: Mik a digitális áramkörök jellemzői az analóg áramkörökhöz képest?

4. Fejezet : Az egész számok (integer) ábrázolása

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

DIGITÁLIS TECHNIKA BINÁRIS SZÁMRENDSZER BEVEZETŐ ÁTTEKINTÉS BINÁRIS SZÁMRENDSZER HELYÉRTÉK. Dr. Lovassy Rita Dr.

2. Fejezet : Számrendszerek

DIGITÁLIS TECHNIKA I SZÁMRENDSZEREK HELYÉRTÉK SZÁMRENDSZEREK RÓMAI SZÁMOK ÉS RENDSZERÜK. Dr. Lovassy Rita Dr.

Aritmetikai utasítások I.

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

Digitális technika VIMIAA01

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

5.1.4 Laborgyakorlat: A Windows számológép használata hálózati címeknél

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika II.

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Máté: Számítógép architektúrák

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3

Harmadik gyakorlat. Számrendszerek

The Architecture of Computer Hardware and Systems Software: An InformationTechnology Approach 3. kiadás, Irv Englander John Wiley and Sons 2003

SZÁMRENDSZEREK KÉSZÍTETTE: JURÁNYINÉ BESENYEI GABRIELLA

Digitális technika (VIMIAA01) Laboratórium 2

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Verilog HDL ismertető 2. hét : 1. hét dia

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA I

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Dr. Oniga István DIGITÁLIS TECHNIKA 2

Digitális technika (VIMIAA01) Laboratórium 2

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

Fixpontos és lebegőpontos DSP Számrendszerek

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

Mikroprocesszor CPU. C Central Központi. P Processing Számító. U Unit Egység

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise.

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Digitális elektronika gyakorlat. A VHDL leírástípusok

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

SZÁMÍTÓGÉPEK BELSŐ FELÉPÍTÉSE - 1

I+K technológiák. Számrendszerek, kódolás

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

DIGITÁLIS TECHNIKA I

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport)

ÁTVÁLTÁSOK SZÁMRENDSZEREK KÖZÖTT, SZÁMÁBRÁZOLÁS, BOOLE-ALGEBRA

Assembly programozás: 2. gyakorlat

0 0 1 Dekódolás. Az órajel hatására a beolvasott utasítás kód tárolódik az IC regiszterben, valamint a PC értéke növekszik.

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

LEBEGŐPONTOS SZÁMÁBRÁZOLÁS

TARTALOMJEGYZÉK. 1. BEVEZETÉS A logikai hálózatok csoportosítása Logikai rendszerek... 6

Digitális technika VIMIAA01

Bevezetés az elektronikába

Egyszerű RISC CPU tervezése

Véges állapotú gépek. Steiner Henriette

A/D és D/A konverterek vezérlése számítógéppel

Átírás:

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a félösszeadó (half adder) (1. ábra). A kétbites összeadó igazságtáblázata a következő. IN0 IN1 Sum Cout 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Sum = IN0 IN1 + IN0 IN1 = IN0 xor IN1 Cout = IN0 IN1 A teljes összeadó (full adder) 1. ábra - Félösszeadó áramkör kapcsolási rajza A kétbites teljes összeadó (2. ábra, 3. ábra, 4. ábra) igazságtáblázata a következő. IN0 IN1 CIN Sum Cout 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 Sum = IN0 IN1 CIN + IN0 IN1 CIN + IN0 IN1 CIN + IN0 IN1 CIN = IN0 xor IN1 xor CIN 1. oldal 2014.01.28.

Cout = IN0 CIN + IN1 CIN + IN0 IN1 2. ábra - Teljes összeadó áramkör blokkvázlata 3. ábra - Teljes összeadó áramkör kapcsolási rajza 2. oldal 2014.01.28.

A teljes összeadó egy másik áramköri megvalósítása. 4. ábra - Teljes összeadó áramkör kapcsolási rajza 2 A teljes összeadó létrehozása két félösszeadó segítségével (5. ábra) (ez utóbbi innen kapta a nevét): 5. ábra - Teljes összeadó áramkör kapcsolási rajza 3 Több bit bites összeadó áramkörben minden helyi értékre kell egy teljes összeadó, az előző helyi érték átvitel kimenete van csatlakoztatva az adott átvitel bemenetére (COUTn-1-> CINn). Ha kivonást is akarunk végeztetni az összeadónkkal, akkor a második operandus kettes komplemensét (bitenkénti negálás + 1) kell az elsőhöz hozzáadnunk. A negálást exkluzív vagy kapuval, az egyes hozzáadását az első helyi értéken a CIN-re adott egyessel oldhatjuk meg. A sorba kapcsolt összeadókkal történő megoldást ripple carry módnak hívjuk. Ennek a megoldásnak az a hátránya, hogy meg kell várnunk, amíg az egyes átvitelek végighaladnak az összes összeadón. Ezért alkalmaznak gyorsítókat (look ahead carry). 3. oldal 2014.01.28.

Egy 4 bites összeadó-kivonó kapcsolási rajzát a 6. ábra szemlélteti (adder-subtractor with ripple carry out). Összeadáskor 4-bites pozitív számokat tudunk összeadni a 4-bites összeadóval és a kimeneti átvitel bit is az eredmény része (ez lesz a legmagasabb helyi értékű eredmény bit). Amikor kivonást valósítunk meg, akkor mivel áttérünk a 2-es komplemens kódú számábrázolásra az eredmény kettes komplemens kódú lesz. 4-bites, 2-es komplemens kódú számábrázoláskor 4-biten -8..+7 számtartományt tudunk előjel helyesen ábrázolni. A legmagasabb helyi értékű bit (2 3 bit) az előjel bit, ami pozitív szám esetén 0, negatív szám esetén 1 értékű. Negatív eredmény esetén a 2 3 bit 1 értékű lesz, az eredményt a következőképpen lehet kiszámítani (10-es számrendszerbe átszámítva): pld. (0100)2 (0110)2 = (1110)2 = -1*2 3 + 1*2 2 + 1*2 1 + 0*2 0 = -210 [6] Az esetleg keletkező (CARRY) átvitelt pedig kivonáskor figyelmen kívül kell hagynunk. 6. ábra - 4 bites összeadó-kivonó áramkör 4. oldal 2014.01.28.

Look ahead carry out Fentebb láttuk, hogy Cout=In0*Cin+ In1*Cin+In0*In1. Legyen az i-edik helyi értéken In0=xi, In1= yi. 7. ábra - 4 bites összeadó, Look ahead carry out Látható, hogy az átvitelek képzésében az előző átvitelek nem vesznek részt, ezeket az összeadandók bemeneti bitjeiből számolja ki a gyorsító. Természetesen szükségünk van a megfelelő bemenetszámú kapuáramkörökre. A BCD összeadó A decimális összeadónál is használhatunk hexa összeadót (8. ábra). A bemenet itt is 2db 4 bites operandusból és a bemenő átvitelből áll, de az operandusok maximális értéke 9 lehet, és ez a tervező felelőssége. Átvitel akkor keletkezik, amikor az összeg 10 vagy annál nagyobb, maximálisan 19 lehet. Ha az összeg eléri vagy meghaladja a tízes értéket, ki kell 5. oldal 2014.01.28.

adnunk az átvitel jelet a következő helyi érték felé (3 kapuval megoldva), és a bináris értékből le kell vonnunk tízet. Miután modulo 16-ban dolgozunk, ez ekvivalens a 6 hozzáadásával, és ezt egyszerűbb megvalósítani. Ha az összeadás értéke 9 vagy kisebb, a második összeadóval még hozzáadunk 0-t (látszólag feleslegesen). A COUT=0, amely a H5 második operandusának B1 és B2 bemenetére kerül, a B0, B3 és a Cin fixen nullára van kötve. Ha azonban a H6-on keletkezett összeg 10 vagy annál nagyobb, a COUT=1, ez kerül a H6 B1 és B2 bemenetére, így H6 második operandusa 6 lesz. 8. ábra - BCD összeadó 6. oldal 2014.01.28.

Laborfeladat: Tervezzen egy 4 bites összeadót-kivonót (9. ábra)! A projekt neve legyen AddSub4Bit! A projekt létrehozása után először készítse el az Add1bit nevű modult (makrót), majd ezután az AddSub4Bit főmodult (ugyanaz legyen a neve, mint a projektnek). Rajzolt elvi kapcsolási rajzokat kell készíteni az eddig tanultak alapján! [4.ábra] [10.ábra]. Az első operandus (op1) a 4 bal oldali SW kapcsoló, a második (op2) a 4 jobb oldali legyen. A helyi értékek az operandusokon belül jobbról balra nőnek. A kivonás a SUB jel logikai egyes értékére, a jobb szélső nyomógomb (BTN0) lenyomásakor történjen. Az összeadás vagy kivonás eredményét a négy jobbszélső LED-en jelenítse meg, míg a legnagyobb helyi értékű LED-en az átvitel bit értéke látszódjon. Végezze el az áramkör szimulációját a kapott szimulációs fájl segítségével. Amennyiben az áramkör működése megfelelő, implementálja azt, a kapott bit kiterjesztésű fájlt töltse le a Basys2 kártyára, és a kapcsolók segítségével próbálja ki az áramkör működését. 9. ábra - Összeadó-kivonó áramkör kapcsolás blokkvázlata 7. oldal 2014.01.28.

A feladathoz szükséges port nevek (ucf file) Port név Busz CP132 tokozás Leírás SUB - NET "sub" LOC = ""G12"; A BTN0 nyomógomb lenyomásakor a bemeneti operandusok kivonása történik. ADDOUT = op1 op2 SW(7:0) 8 bit NET "SW<7>" LOC = "N3; NET "SW<6>" LOC = "E2"; NET "SW<5>" LOC = "F3; NET "SW<4>" LOC = "G3"; NET "SW<3>" LOC = "B4; NET "SW<2>" LOC = "K3; NET "SW<1>" LOC = "L3"; NET "SW<0>" LOC = "P11"; LED(7:0) 8 bit NET "Led<3>" LOC = "P6"; NET "Led<2>" LOC = "P7"; NET "Led<1>" LOC = "M11"; NET "Led<0>" LOC = "M5"; NET "Led<7>" LOC = "G1"; Összeadó bemenetei SW(3:0) 2. operandus, op2 SW(7:4) 1. operandus, op1 LED(3:0) Összeadó-kivonó áramkör kimenete, ADDOUT LED(7) CARRY flag értéke A nem használt LED-eket és hétszegmenses kijelzőket le kell tiltani az ISE Generate Programming File parancs jobbgombos Process Properties menüjében (Float beállítás): Állítsa be a JTAG clock opciót is! 8. oldal 2014.01.28.

ez a kimeneti blokk opcionális 10. ábra Addsub4bit elvi kapcsolási rajza (ez a főmodul) 9. oldal 2014.01.28.

Alkalmazandó műszerek és eszközök PC számítógép Digilent Basys2 Spartan-3E FPGA mérőpanel Digilent Adept konfiguráló szoftver Hivatkozások, felkészüléshez ajánlott irodalom [1] FPGA fejlesztés a Xilinx ISE Webpack-ben, Elektronikus formában a tantárgy honlapján [2] Digilent Basys2 Board Reference Manual, Elektronikus formában a tantárgy honlapján [3] Spartan-3E Libraries Guide for Schematic Designs, Elektronikus formában a tantárgy honlapján [4] Kóré László: Digitális elektronika I. BMF 1121 [5] Arató Péter: Logikai rendszerek tervezése, Tankönyvkiadó [6] Zsom Gyula: Digitális technika I, Műszaki Könyvkiadó 10. oldal 2014.01.28.