Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38"

Átírás

1 Bevezetés A VHDL mint rendszertervező eszköz A gépi tervezés A VHDL általános jellemzése Tervezési eljárás VHDL-lel A VHDL nyelv alapszabályai Tárgyak és adattípusok A VHDL-szabványban rögzített típusfajták Vektorok létrehozása Csomagokban meghatározott típusok Jelek, változók és állandók Altípusok Operátorok Bitfüzér-szövegelemek Minősítők Álnevek Kisbetűk és nagybetűk Tervezési egység felépítése Az egységbejelentés (deklaráció) Az építmény Utasítások csoportosítása Egyidejű (concurrent) utasítások Sorrendi (sequential) utasítások Értékadás A változó-hozzárendelés (értékadás) A jelértékadás A jel- és a változó-értékadás összehasonlítása Vektorértékadás Összevonás Többdimenziós rácsrendek értékadása... 43

2 Értékadás bitfüzér-szövegelemmel Rácsrend szelete (slice of array) Összefűzés Megosztott változók Jelek és változók használatával kapcsolatos gyakori hibalehetőség Tehetetlenségi és szállítási késleltetés Egyidejűség két buffer példája Feloldott jelek (resolved signals) A kezdőérték és megváltoztatása Alprogramok Eljárás Függvény Eljárás és függvény összehasonlítása egy példán Az építmény leírása A viselkedési modell Adatáramlási (RTL-szintű) modell A szerkezeti modell A különböző modellek összehasonlítása A követelési (assert) utasítás A jelentési (report) utasítás Hibakezelés Kialakítás (Configuration) Elnevezési szokások és tervezési vezérelvek Könyvtárak és csomagok Csomagok A könyvtár és a csomag láthatóvá tétele Összetevők a csomagokban A standard csomag Felültöltés A felültöltéssel kapcsolatos hibalehetőségek Az std_logic_vector kétféle értelmezése Alprogramok felültöltése Típusváltás csomagbeli váltófüggvényekkel Egy mintacsomag és alkalmazása... 82

3 4. Igazolás A gerjesztéskeltő és a próbapad Felhúzás/lehúzás Egy egyszerű áramkör modellezése tervezőrendszertől független kiíratással Egyidejű modellezés Összetevő-beültetés Összetevő-bejelentés Bekötetlen kimenetek Bekötetlen bemenetek Általános kiosztási (generic map) utasítás A létrehozó (generate) utasítás Közvetlen beültetés A when utasítás Háromállapotú buffer és az others utasítás A with utasítás Egyidejű követelmény A tömb (block) utasítás Sorrendi modellezés A folyamat (process) működése A folyamat jelölésmódja Diszkrét esemény időmodellje Egy példa a folyamat működésére A folyamatbeli késleltetés modellezése A folyamat típusai Az if-then-else utasítás Az eset (case) utasítás Az others használata a case utasításban Összehasonlító tervezése Értéktartomány használata Az összefűzés használata Többszörös értékadás (hozzárendelés) A null utasítás

4 6.4. Hurokképző utasítások Hurok for vagy while nélkül For hurokképző utasítás While hurokképző utasítás A következő (next) utasítás A kilépés (exit) utasítás A vár (wait) utasítás Feltétel nélküli várakozás Időtartamra várakozás Értékre várakozás Értékváltozásra várakozás A folyamat várakoztatására vonatkozó megoldások összehasonlítása A kimenti jelek vizsgálata követelménnyel Sorrendi jelentés követelmény nélkül A now (most) változó Tervezési fogások és módszerek példákon bemutatva Nyelvi elemek használata igényes leíráshoz Rácsrend bejelentése és indexek használata Vektorszorzás Kimeneti jel újraolvasása Fájl olvasása Nyalábolók és visszakódolók Kettőből-egy nyaláboló Négyből-egy nyaláboló Háromból-nyolc visszakódoló Összeadók Egész számokra használható összeadó A félösszeadó szerkezeti leírása A teljes összeadó leírása Egybites összeadó-átvitel bemenettel Nyolcbites összeadó-átvitel bemenettel Általános összeadó-átvitel bemenettel Négybites összeadó/kivonó

5 7.4. Példák folyamatokra Nem teljesen meghatározott kombinációs folyamat Órázott folyamat Élvezérelt impulzuskeltő Élészlelő Flip-flop modellezése és szintézise Flip-flop szintézis órázott jelekkel Flip-flop változókkal modellezve Vizsgálható flip-flop szinkron engedélyezéssel Flip-flop szintézis kapuzott órával Összeadó és flip-flop szintézise Flip-flop regiszter aszinkron törléssel Flip-flop regiszter szinkron törléssel Flip-flop regiszter aszinkron törléssel és beállítással Nyolcbites regiszter engedélyezéssel és aszinkron törléssel Léptetőegységek Léptetési műveletek Egyszerű léptetőregiszter Léptetőregiszter aszinkron törléssel Számlálók Egy 2 bites számláló Egy 8 bites számláló leírása Hárombites számláló engedélyezéssel és átvitelkimentettel Gyűrűs oszcillátor Állapotgépes modellezés Az állapotgépek típusai A Moore-gép egyszerű modellje Moore-gép modellje három folyamattal RAM és ROM építése ROM meghatározása tömbállandóval RAM létrehozása Egy számtani-logikai egység terve Szintézisre optimalizált tervezés erőforrás-megosztással

6 8. Hagyományos és késleltetésérzéketlen aszinkron hálózatok modellezése A Huffmann-féle aszinkron hálózatok állapotátmeneti táblázatának modellezése és szimulációja Kétbemenetű Huffmann-hálózat modellje Több állapotváltozót megkövetelő Huffmann-féle aszinkron hálózat specifikációjának a modellezése Ikerhuzalos késleltetésérzéketlen aszinkron hálózatok elvont szintű modellezése A Müller-C áramkörök Az ikerhuzalos kódolás A logikai teljesség elve Késleltetésérzéketlen kombinációs hálózatok és modelljeik Ikerhuzalos tároló és regiszter modellje DR-tárolóláncok szinkronizációja Müller C egységgel DR aszinkron hálózatok Ikerhuzalos aszinkron egységek elvont szintű modellezése Kapcsolószintű modellezés A kapcsolószintű VHDL-feloldófüggvények fő problémái A NEWNSTD csomag és a nyolcértékű bittípus feloldófüggvénye CMOS-kapcsolók modellezése CMOS-kapuk modellezése Dinamikus (töltéstárolást kihasználó) kapcsolóhálózatok modellezése Függelék A work könyvtárbeli orakapuk nevű felhasználói csomag forráskódja Angol magyar szójegyzék Tárgymutató A szerzőkről Dr. Keresztes Péter Dr. Hosszú Gábor Egy olvasói levél

7.hét: A sorrendi hálózatok elemei II.

7.hét: A sorrendi hálózatok elemei II. 7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve

Részletesebben

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Dr. Oniga István DIGITÁLIS TECHNIKA 8 Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

6. hét: A sorrendi hálózatok elemei és tervezése

6. hét: A sorrendi hálózatok elemei és tervezése 6. hét: A sorrendi hálózatok elemei és tervezése Sorrendi hálózat A Sorrendi hálózat Y Sorrendi hálózat A Sorrendi hálózat Y Belső állapot Sorrendi hálózat Primer változó A Sorrendi hálózat Y Szekunder

Részletesebben

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót VHDL szimuláció Labor II. Dr. Hidvégi Timót Tervezés 1 Lefoglalt szavak abs access after alias all and architecture array assert attribute block body buffer bus case component configuration constant disconnect

Részletesebben

Operációs rendszerek. 11. gyakorlat. AWK - szintaxis, vezérlési szerkezetek UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED

Operációs rendszerek. 11. gyakorlat. AWK - szintaxis, vezérlési szerkezetek UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED UNIVERSITAS SCIENTIARUM SZEGEDIENSIS UNIVERSITY OF SZEGED AWK - szintaxis, vezérlési szerkezetek Operációs rendszerek 11. gyakorlat Szegedi Tudományegyetem Természettudományi és Informatikai Kar Csuvik

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

VHDL alapú tervezés. (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens

VHDL alapú tervezés. (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens VHDL alapú tervezés (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens BEVEZETÉS... 4 A VHDL NYELV MEGADÁSA... 5 A VHDL NYELV ELEMEI... 5 Kommentek... 5 Fentartott szavak... 5 Adattípusok...

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 9 r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:

Részletesebben

Egyszerű mikroprocesszor RTL modellek (VHDL)

Egyszerű mikroprocesszor RTL modellek (VHDL) Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű mikroprocesszor RTL modellek (VHDL) Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 11. Horváth Péter Egyszerű mikroprocesszor RTL modellek

Részletesebben

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK HDL nyelvek: VHDL Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

Kinek szól a könyv? A könyv témája A könyv felépítése Mire van szükség a könyv használatához? A könyvben használt jelölések. 1. Mi a programozás?

Kinek szól a könyv? A könyv témája A könyv felépítése Mire van szükség a könyv használatához? A könyvben használt jelölések. 1. Mi a programozás? Bevezetés Kinek szól a könyv? A könyv témája A könyv felépítése Mire van szükség a könyv használatához? A könyvben használt jelölések Forráskód Hibajegyzék p2p.wrox.com xiii xiii xiv xiv xvi xvii xviii

Részletesebben

8.3. AZ ASIC TESZTELÉSE

8.3. AZ ASIC TESZTELÉSE 8.3. AZ ASIC ELÉSE Az eddigiekben a terv helyességének vizsgálatára szimulációkat javasoltunk. A VLSI eszközök (közöttük az ASIC) tesztelése egy sokrétűbb feladat. Az ASIC modellezése és a terv vizsgálata

Részletesebben

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK 3.6. AGYOMÁNYOS SZEKVENCIÁIS FUNKCIONÁIS EGYSÉGEK A fenti ismertető alapján elvileg tetszőleges funkciójú és összetettségű szekvenciális hálózat szerkeszthető. Vannak olyan szabványos funkciók, amelyek

Részletesebben

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla

Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Megoldás Digitális technika I. (vimia102) 4. gyakorlat: Sorrendi hálózatok alapjai, állapot gráf, állapottábla Elméleti anyag: Amikor a hazárd jó: élekből impulzus előállítás Sorrendi hálózatok alapjai,

Részletesebben

Hardver leíró nyelvek (HDL)

Hardver leíró nyelvek (HDL) Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:

Részletesebben

BASH script programozás II. Vezérlési szerkezetek

BASH script programozás II. Vezérlési szerkezetek 06 BASH script programozás II. Vezérlési szerkezetek Emlékeztető Jelölésbeli különbség van parancs végrehajtása és a parancs kimenetére való hivatkozás között PARANCS $(PARANCS) Jelölésbeli különbség van

Részletesebben

1. A VHDL mint rendszertervező eszköz

1. A VHDL mint rendszertervező eszköz 1.1. A gépi tervezés A gépi leíró nyelvek (HDL) célja az egyes termékek egységesítése, logikai szimulációhoz leíró nyelv biztosítása, a terv hierarchikus felépítésének tükrözése és a nagy tervek áttekinthetővé

Részletesebben

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához

2) Tervezzen Stibitz kód szerint működő, aszinkron decimális előre számlálót! A megvalósításához XIII. szekvenciális hálózatok tervezése ) Tervezzen digitális órához, aszinkron bináris előre számláló ciklus rövidítésével, 6-os számlálót! megvalósításához negatív élvezérelt T típusú tárolót és NN kaput

Részletesebben

Az UPPAAL egyes modellezési lehetőségeinek összefoglalása. Majzik István BME Méréstechnika és Információs Rendszerek Tanszék

Az UPPAAL egyes modellezési lehetőségeinek összefoglalása. Majzik István BME Méréstechnika és Információs Rendszerek Tanszék Az UPPAAL egyes modellezési lehetőségeinek összefoglalása Majzik István BME Méréstechnika és Információs Rendszerek Tanszék Résztvevők együttműködése (1) Automaták interakciói üzenetküldéssel Szinkron

Részletesebben

Digitális technika házi feladat III. Megoldások

Digitális technika házi feladat III. Megoldások IV. Szinkron hálózatok Digitális technika házi feladat III. Megoldások 1. Adja meg az alábbi állapottáblával megadott 3 kimenetű sorrendi hálózat minimális állapotgráfját! a b/x1x c/x0x b d/xxx e/x0x c

Részletesebben

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,

Részletesebben

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3.

D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Szinkron hálózatok D I G I T Á L I S T E C H N I K A Gyakorló feladatok 3. Irodalom: Arató Péter: Logikai rendszerek. Tankönyvkiadó, Bp. 1985. J.F.Wakerley: Digital Design. Principles and Practices; Prentice

Részletesebben

Digitális technika - Ellenőrző feladatok

Digitális technika - Ellenőrző feladatok igitális technika - Ellenőrző feladatok 1. 2. 3. a.) Írja fel az oktális 157 számot hexadecimális alakban b.) Írja fel bináris és alakban a decimális 100-at! c.) Írja fel bináris, oktális, hexadecimális

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész Hobbi Elektronika A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog

Részletesebben

AWK programozás, minták, vezérlési szerkezetek

AWK programozás, minták, vezérlési szerkezetek 10 AWK programozás, minták, vezérlési szerkezetek AWK futtatási módok AWK parancs, közvetlen programkódmegadás: awk 'PROGRAMKÓD' FILE példa: ls -l awk '{print $1, $5}' a programkód helyére minden indentálás

Részletesebben

Java II. I A Java programozási nyelv alapelemei

Java II. I A Java programozási nyelv alapelemei Java II. I A Java programozási nyelv alapelemei Miskolci Egyetem Általános Informatikai Tanszék Utolsó módosítás: 2008. 02. 19. Java II.: Alapelemek JAVA2 / 1 A Java formalizmusa A C, illetve az annak

Részletesebben

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók. Megoldás Digitális technika II. (vimia111) 1. gyakorlat: Digit alkatrészek tulajdonságai, funkcionális elemek (MSI) szerepe, multiplexer, demultiplexer/dekóder Elméleti anyag: Digitális alkatrészcsaládok

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD) 1 1.1. AZ INTEGRÁLT ÁRAMKÖRÖK GYÁRTÁSTECHNOLÓGIÁI A digitális berendezések tervezésekor számos technológia szerint gyártott áramkörök közül

Részletesebben

5. Hét Sorrendi hálózatok

5. Hét Sorrendi hálózatok 5. Hét Sorrendi hálózatok Digitális technika 2015/2016 Bevezető példák Példa 1: Italautomata Legyen az általunk vizsgált rendszer egy italautomata, amelyről az alábbi dolgokat tudjuk: 150 Ft egy üdítő

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Tervezzetek egy félösszeadó VHDL modult 2. Tervezzetek egy teljes összeadó VHDL modult 3. Schematic Editor segítségével tervezzetek egy 4 bit-es öszeadó áramkört. A két bemeneti számot a logikai

Részletesebben

PHP. Telepítése: Indítás/újraindítás/leállítás: Beállítások: A PHP nyelv

PHP. Telepítése: Indítás/újraindítás/leállítás: Beállítások: A PHP nyelv PHP A PHP rövidítés jelentése hivatalosan: PHP Hypertext Preprocessor. Ez egy kiszolgáló-oldali parancsnyelv, amit jellemzően HTML oldalakon használnak. A különbség a két nyelv között az, hogy a kiszolgáló

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS 1 AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Előadó: Nagy István (A65)

Előadó: Nagy István (A65) Programozható logikai áramkörök FPGA eszközök Előadó: Nagy István (A65) Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Digitális eszközök típusai

Digitális eszközök típusai Digitális eszközök típusai A digitális eszközök típusai Digitális rendszer fogalma Több minden lehet digitális rendszer Jelen esetben digitális integrált áramköröket értünk a digitális rendszerek alatt

Részletesebben

Standard cellás tervezés

Standard cellás tervezés Budapesti Műszaki és Gazdaságtudományi Egyetem Elektronikus Eszközök Tanszéke Standard cellás tervezés A tanszéken rendelkezésre álló CENSORED technológia bemutatás és esettanulmány Figyelmeztetés! Ez

Részletesebben

Informatika terméktervezőknek

Informatika terméktervezőknek Informatika terméktervezőknek C# alapok Névterület (namespace) using Osztály (class) és Obejtumok Metódus (function, procedure, method) main() static void string[] arg Szintaxis // /* */ \n \t Névadások

Részletesebben

IRÁNYÍTÁSTECHNIKA I.

IRÁNYÍTÁSTECHNIKA I. IRÁNÍTÁSTEHNIK I. 5 éves Sc kurzus Összeállította: Dr. Tarnai Géza egetemi tanár udapest, 8. Rendszer- és iránításelméleti ismeretek. félév. félév Diszkrét állapotú rendszerek, logikai hálózatok Foltonos

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

DIGITÁLIS TECHNIKA feladatgyűjtemény

DIGITÁLIS TECHNIKA feladatgyűjtemény IGITÁLIS TEHNIK feladatgyűjtemény Írta: r. Sárosi József álint Ádám János Szegedi Tudományegyetem Mérnöki Kar Műszaki Intézet Szerkesztette: r. Sárosi József Lektorálta: r. Gogolák László Szabadkai Műszaki

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II IGITÁLIS TEHNIKA II r. Lovassy Rita r. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐAÁS AZ ELŐAÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Digitális technika VIMIAA02

Digitális technika VIMIAA02 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 Fehér Béla BME MIT Sorrendi hálózatok Az eddigiekben

Részletesebben

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok

F1301 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bevezetés az elektronikába Digitális elektronika alapjai Szekvenciális hálózatok F3 Bev. az elektronikába SZEKVENIÁLIS LOGIKAI HÁLÓZATOK A kimenetek állapota nem csak a bemenetek állapotainak kombinációjától

Részletesebben

Programozási nyelvek (ADA)

Programozási nyelvek (ADA) Programozási nyelvek (ADA) Kozsik Tamás előadása alapján Készítette: Nagy Krisztián 1. előadás Hasznos weboldal http://kto.web.elte.hu Program felépítése Programegységek (program unit) eljárások (procedure)

Részletesebben

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)=

következő: 2.)N=18 bites 2-es komplemens fixpontos rendszer p=3 Vmin+ =delta r=2^(-p)=2^(-3)= 1. ZH A 1.)FPGA A Xilinx FPGA áramköröknek három alapvető építőeleme van: CLB: konfigurálható logikai blokk: szükséges logikai kapcsolatok megvalósítása egy logikai tömbben. Tartalmaz 2db. D Flip-Flop-ot

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint

DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 6. ELŐADÁS ELŐÍRT TANKÖNYV-IRODALOM Sorrendi hálózatok, flip-flopok, regiszterek, számlálók,

Részletesebben

Webprogramozás szakkör

Webprogramozás szakkör Webprogramozás szakkör Előadás 5 (2012.04.09) Programozás alapok Eddig amit láttunk: Programozás lépései o Feladat leírása (specifikáció) o Algoritmizálás, tervezés (folyamatábra, pszeudokód) o Programozás

Részletesebben

AWK programozás, minták, vezérlési szerkezetek

AWK programozás, minták, vezérlési szerkezetek 10 AWK programozás, minták, vezérlési szerkezetek AWK adatvezérelt szkriptnyelv text processing, adat kiterjesztés, tagolt adatok automatizált soronkénti feldolgozása a forrásállományt soronként beolvassa

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKI TERVEZÉS HRDVERLEÍRÓ NYELVEN Dr. Oniga István Digitális komparátorok Két szám között relációt jelzi, (egyenlő, kisebb, nagyobb). három közül csak egy igaz Egy bites komparátor B Komb. hál. fi

Részletesebben

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104.

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104. Logikai hálózatok Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St. I. em. 04. Tanszéki honlap: www.kjit.bme.hu/hallgatoknak/bsc-targyak-3/logikai-halozatok Gyakorlatok: hétfő + 08:5-0:00 J 208 HF: 4.

Részletesebben

S z á m í t ó g é p e s a l a p i s m e r e t e k

S z á m í t ó g é p e s a l a p i s m e r e t e k S z á m í t ó g é p e s a l a p i s m e r e t e k 7. előadás Ami eddig volt Számítógépek architektúrája Alapvető alkotóelemek Hardver elemek Szoftver Gépi kódtól az operációs rendszerig Unix alapok Ami

Részletesebben

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár

Irányítástechnika I. Dr. Bede Zsuzsanna. Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Előadó: Dr. Bede Zsuzsanna, adjunktus Összeállította: Dr. Sághi Balázs, egy. docens Dr. Tarnai Géza, egy. tanár Irányítástechnika I. Dr. Bede Zsuzsanna bede.zsuzsanna@mail.bme.hu St.

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Összeállította Dr. Oniga István A következő anyagok felhasználásával Digitális rendszerek tervezése FPGA áramkörökkel. Fehér Bela Szanto Peter,

Részletesebben

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ

DIGITÁLIS TECHNIKA NORMÁL BCD KÓD PSZEUDOTETRÁDOK AZONOSÍTÁSA A KARNAUGH TÁBLÁN BCD (8421) ÖSSZEADÁS BCD ÖSSZEADÁS: +6 KORREKCIÓ DIGITÁLIS TECHNIKA Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 3. ELŐADÁS NORMÁL BCD KÓD Természetes kód - Minden számjegyhez a 4-bites bináris kódját

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez Benesóczky Zoltán 217 1 digitális automaták kombinációs hálózatok sorrendi hálózatok (SH) szinkron SH aszinkron SH Kombinációs automata Logikai

Részletesebben

Összeadás BCD számokkal

Összeadás BCD számokkal Összeadás BCD számokkal Ugyanúgy adjuk össze a BCD számokat is, mint a binárisakat, csak - fel kell ismernünk az érvénytelen tetrádokat és - ezeknél korrekciót kell végrehajtani. A, Az érvénytelen tetrádok

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 7. VHDL FELADATOK: Speciális nyelvi szerkezetek. Sorrendi

Részletesebben

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította:

Áramkörök elmélete és számítása Elektromos és biológiai áramkörök. 3. heti gyakorlat anyaga. Összeállította: Áramkörök elmélete és számítása Elektromos és biológiai áramkörök 3. heti gyakorlat anyaga Összeállította: Kozák László kozla+aram@digitus.itk.ppke.hu Elkészült: 2010. szeptember 30. Utolsó módosítás:

Részletesebben

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész Hobbi Elektronika A digitális elektronika alapjai: Sorrendi logikai áramkörök 1. rész 1 Felhasznált anyagok M. Morris Mano and Michael D. Ciletti: Digital Design - With an Introduction to the Verilog HDL,

Részletesebben

Szekvenciális hálózatok és automaták

Szekvenciális hálózatok és automaták Szekvenciális hálózatok a kombinációs hálózatokból jöhetnek létre tárolási tulajdonságok hozzáadásával. A tárolás megvalósítása történhet a kapcsolás logikáját képező kombinációs hálózat kimeneteinek visszacsatolásával

Részletesebben

Programozás alapjai C nyelv 4. gyakorlat. Mit tudunk már? Feltételes operátor (?:) Típus fogalma char, int, float, double

Programozás alapjai C nyelv 4. gyakorlat. Mit tudunk már? Feltételes operátor (?:) Típus fogalma char, int, float, double Programozás alapjai C nyelv 4. gyakorlat Szeberényi Imre BME IIT Programozás alapjai I. (C nyelv, gyakorlat) BME-IIT Sz.I. 2005.10.10.. -1- Mit tudunk már? Típus fogalma char, int, float,

Részletesebben

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb...

funkcionális elemek regiszter latch számláló shiftregiszter multiplexer dekóder komparátor összeadó ALU BCD/7szegmenses dekóder stb... Funkcionális elemek Benesóczky Zoltán 24 A jegyzetet a szerzői jog védi. Azt a BM hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerző belegyezése szükséges. funkcionális

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA...

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...minta VIZSGA... feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg. Olvasható aláírás:...mint VIZSG... NÉV:...tk.:... Kiegészítő és szegedi képzés IGITÁLIS TCHNIK VIZSG ZÁTHLYI Kedves

Részletesebben

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István Programozható logikai áramkörök PAL és GAL áramkörök Előadó: Nagy István Ajánlott irodalom: Ajtonyi I.: Digitális rendszerek, Miskolci Egyetem, 2002. Ajtonyi I.: Vezérléstechnika II., Tankönyvkiadó, Budapest,

Részletesebben

Büki András UNIX/Linux héjprogramozás Büki András: UNIX/Linux héjprogramozás Büki András Felelõs kiadó a Kiskapu Kft. ügyvezetõ igazgatója 2002 Kiskapu Kft. 1081 Budapest Népszínház u. 29. Tel: (+36-1)

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 3. Verilog blokkok és struktúrák Végh János: Bevezetés a Verilog hardverleíró nyelvbe c. jegyzete nyomán Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia

Részletesebben

III. Alapfogalmak és tervezési módszertan SystemC-ben

III. Alapfogalmak és tervezési módszertan SystemC-ben III. Alapfogalmak és tervezési módszertan SystemC-ben A SystemC egy lehetséges válasz és egyben egyfajta tökéletesített, tovább fejlesztett tervezési módszertan az elektronikai tervezés területén felmerülő

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

DIGITÁLIS TECHNIKA II

DIGITÁLIS TECHNIKA II DIGITÁLIS TECHNIKA II Dr. Lovassy Rita Dr. Pődör Bálint Óbudai Egyetem KVK Mikroelektronikai és Technológia Intézet 7. ELŐADÁS AZ ELŐADÁS ÉS A TANANYAG Az előadások Arató Péter: Logikai rendszerek tervezése

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

Bevezető Típusok és operátorok a VHDL-ben A VHDL nyelv vezérlési szerkezetei Hieararchikus tervezés. Budapesti Műszaki és Gazdaságtudományi Egyetem

Bevezető Típusok és operátorok a VHDL-ben A VHDL nyelv vezérlési szerkezetei Hieararchikus tervezés. Budapesti Műszaki és Gazdaságtudományi Egyetem Budapesti Műszaki és Gazdaságtudományi Egyetem A VHDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A VHDL áttekintése 1 / 57 A

Részletesebben

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Misák Sándor SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Nanoelektronikai és Nanotechnológiai Részleg 4. előadás A DIGITÁLIS LOGIKA SZINTJE I. DE TTK v.0.1 (2007.03.13.) 4. előadás 1. Kapuk és Boole-algebra: Kapuk; Boole-algebra;

Részletesebben

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK

SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Misák Sándor SZÁMÍTÓGÉPES ARCHITEKTÚRÁK Nanoelektronikai és Nanotechnológiai Részleg DE TTK v.0.1 (2007.03.13.) 4. előadás A DIGITÁLIS LOGIKA SZINTJE I. 4. előadás 1. Kapuk és Boole-algebra: Kapuk; Boole-algebra;

Részletesebben

Alapszintű formalizmusok

Alapszintű formalizmusok Alapszintű formalizmusok dr. Majzik István BME Méréstechnika és Információs Rendszerek Tanszék 1 Mit szeretnénk elérni? Informális tervek Informális követelmények Formális modell Formalizált követelmények

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

1. A Windows Vista munkakörnyezete 1

1. A Windows Vista munkakörnyezete 1 Előszó xi 1. A Windows Vista munkakörnyezete 1 1.1. Bevezetés 2 1.2. A munka megkezdése és befejezése 4 1.2.1. A számítógép elindítása 4 1.2.2. Az üdvözlőképernyő 5 1.2.3. A saját jelszó megváltoztatása

Részletesebben

Komputeralgebra Rendszerek

Komputeralgebra Rendszerek Komputeralgebra Rendszerek Programozás Czirbusz Sándor ELTE IK, Komputeralgebra Tanszék 2014. február 23. TARTALOMJEGYZÉK 1 of 28 TARTALOMJEGYZÉK I 1 TARTALOMJEGYZÉK 2 Értékadás MAPLE -ben SAGE -ben 3

Részletesebben

Verilog HDL ismertető 2. hét : 1. hét dia

Verilog HDL ismertető 2. hét : 1. hét dia BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Verilog HDL ismertető 2. hét : 1. hét + 15 25 dia Fehér Béla, Raikovich

Részletesebben

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta

Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Integrált áramkörök/4 Digitális áramkörök/3 CMOS megvalósítások Rencz Márta Elektronikus Eszközök Tanszék Mai témák Transzfer kapu Kombinációs logikai elemek különböző CMOS megvalósításokkal Meghajtó áramkörök

Részletesebben

Mit tudunk már? Programozás alapjai C nyelv 4. gyakorlat. Legnagyobb elem keresése. Feltételes operátor (?:) Legnagyobb elem keresése (3)

Mit tudunk már? Programozás alapjai C nyelv 4. gyakorlat. Legnagyobb elem keresése. Feltételes operátor (?:) Legnagyobb elem keresése (3) Programozás alapjai C nyelv 4. gyakorlat Szeberényi Imre BME IIT Mit tudunk már? Típus fogalma char, int, float, double változók deklarációja operátorok (aritmetikai, relációs, logikai,

Részletesebben

Architektúra, megszakítási rendszerek

Architektúra, megszakítási rendszerek Architektúra, megszakítási ek Mirıl lesz szó? Megszakítás fogalma Megszakítás folyamata Többszintű megszakítási ek Koschek Vilmos Példa: Intel Pentium vkoschek@vonalkodhu Koschek Vilmos Fogalom A számítógép

Részletesebben

Szekvenciális hálózatok Állapotdiagram

Szekvenciális hálózatok Állapotdiagram Szekvenciális hálózatok Állapotdiagram A kombinatorikus hálózatokra jellemző: A kimeneti paramétereket kizárólag a mindenkori bemeneti paraméterek határozzák meg, a hálózat jellegének, felépítésének megfelelően

Részletesebben

Elektronika 11. évfolyam

Elektronika 11. évfolyam Elektronika 11. évfolyam Áramköri elemek csoportosítása. (Aktív-passzív, lineáris- nem lineáris,) Áramkörök csoportosítása. (Aktív-passzív, lineáris- nem lineáris, kétpólusok-négypólusok) Két-pólusok csoportosítása.

Részletesebben

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA

ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA ELEKTROTECHNIKA-ELEKTRONIKA ELEKTROTECHNIKA 1. Egyenáramú körök Követelmények, matematikai alapok, prefixumok Töltés, áramerősség Feszültség Ellenállás és vezetés. Vezetők, szigetelők Áramkör fogalma Áramköri

Részletesebben

Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT

Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT Kombinációs logikák

Részletesebben

Digitális technika VIMIAA02 7. hét

Digitális technika VIMIAA02 7. hét BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 7. hét Fehér Béla BME MIT Kombinációs logikák

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Mérési jegyzőkönyv. az ötödik méréshez

Mérési jegyzőkönyv. az ötödik méréshez Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc

Részletesebben

Programozási nyelvek (ADA)

Programozási nyelvek (ADA) Programozási nyelvek (ADA) Kozsik Tamás előadása alapján Készítette: Nagy Krisztián 3. előadás Programozási nyelv felépítése szabályok megadása Lexika Milyen egységek építik fel? Szintaktikus szabályok

Részletesebben

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA02) Laboratórium 4 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,

Részletesebben

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok)

30.B 30.B. Szekvenciális hálózatok (aszinkron és szinkron hálózatok) 30.B Digitális alapáramkörök Logikai alapáramkörök Ismertesse a szekvenciális hálózatok jellemzıit! Mutassa be a két- és többszintő logikai hálózatok realizálásának módszerét! Mutassa be a tároló áramkörök

Részletesebben