Bevezetés az újra konfigurálható eszközök programozásába

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Bevezetés az újra konfigurálható eszközök programozásába"

Átírás

1 Bevezetés az újra konfigurálható eszközök programozásába Bevezetés a Quartus II V13 fejlesztő rendszerbe Végh János Debreceni Egyetem Informatikai Kar Utoljára szerkesztve: augusztus 9. Végh János Bevezetés az újra konfigurálható eszközök programozásába 1/52

2 Fejezet tartalomjegyzék 1 Végh János Bevezetés az újra konfigurálható eszközök programozásába 2/52

3 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 3/52

4 Bevezetés Ez a fejezet bevezető a Quartus R II CAD rendszer használatához. Először általában áttekintjük, hogyn működik egy tipikus CAD áramkör tervezési folyam FPGA eszközök használata esetén, majd azt vizsgáljuk meg, hogyan valósul az meg a Quartus R II rendszerben. A tervezés utolsó lépéseként a kívánt áramkört egy tényleges FPGA eszközt használva konfiguráljuk. Végh János Bevezetés az újra konfigurálható eszközök programozásába 4/52

5 Tipikus CAD folyam Egy tipikus CAD folyam az alábbi lépésekből áll: Előkészületek Új projekt indítása Verilog kód írása A terv lefordítása Tüskék hozzárendelése A tervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A tervezett áramkör tesztelése Végh János Bevezetés az újra konfigurálható eszközök programozásába 5/52

6 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 6/52

7 Tipikus CAD folyam c 2013, [?] Tipikus CAD folyam Egy tipikus CAD folyam a következő lépésekből áll Terv készítése Szintézis Funkcionális szimuláció Illesztés Időzítés vizsgálat Időzített szimuláció Programozás és konfigurálás Végh János Bevezetés az újra konfigurálható eszközök programozásába 7/52

8 Háttér ismeretek Ebben a fejezetben a Quartus R II szoftver alap tulajdonságait ismerjük meg. A fejezet befejezése után az olvasó képes lesz Új projekt létrehozására Tervet készíteni Verilog nyelven A Verilog nyelven leírt tervet áramkörré szintetizálni A szintetizált áramkört egy Altera FPGA-ra illeszteni Az áramkör be- és kimeneteit az FPGA tüskéihez rendelni A megtervezett áramkört szimulálni Az Altera DE-sorozatú kártyáin levő FPGA lapkát programozni és konfigurálni Végh János Bevezetés az újra konfigurálható eszközök programozásába 8/52

9 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 9/52

10 Előkészületek Az egyes logikai áramköröket, vagy azok részeit, amiket a Quartus R II szoftverrel tervezünk, projektnek nevezzük. A szoftver egyidejűleg egyetlen projekten dolgozik és az arra projektre vonatkozó valamennyi információt a a fájl rendszer egyetlen könyvtárában tartja. Egy új áramkör tervezésekor az első lépés egy új könyvtár létrehozása a terv fájljai számára. Ebben a fejezetben az introtutorial nevű alkönyvtárban tároljuk a tervhez tartozó fájlokat. A tervezési mintafeladat egy kétutas fény vezérlő áramkör lesz. Végh János Bevezetés az újra konfigurálható eszközök programozásába 10/52

11 A kezdő képernyő c 2013, [?] A kezdő képernyő Végh János Bevezetés az újra konfigurálható eszközök programozásába 11/52

12 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 12/52

13 Új projekt indítása c 2013, [?] Új projekthez nevek megadása Legyen a munka könyvtárunk a introtutorial. Legyen a projekt és a legfelsőbb szintű entitás neve lights. A második lépésben már készen levő fájlokat adhatunk a projekthez; most csak haladunk tovább, fájl hozzáadás nélkül. Végh János Bevezetés az újra konfigurálható eszközök programozásába 13/52

14 Új projekt indítása c 2013, [?] Új projekthez nevek megadása Legyen a munka könyvtárunk a introtutorial. Legyen a projekt és a legfelsőbb szintű entitás neve lights. A második lépésben már készen levő fájlokat adhatunk a projekthez; most csak haladunk tovább, fájl hozzáadás nélkül. Végh János Bevezetés az újra konfigurálható eszközök programozásába 13/52

15 Az FPGA eszköz paraméterezése A DE2 kártya számára válasszuk ki a Cyclone II családot, az eszköz nevét (EP2C35F672C6 a DE2 esetén). c 2013, [?] Az FPGA eszköz paraméterezése Végh János Bevezetés az újra konfigurálható eszközök programozásába 14/52

16 A projekt összefoglaló képernyője Ezzel megérkeztünk az összefoglaló képernyőhöz. Érdemes megnézni, hogy minden megfelelően van-e beállítva. (sok időt takaríthatunk meg!) c 2013, [?] A projekt összefoglaló képernyője Végh János Bevezetés az újra konfigurálható eszközök programozásába 15/52

17 A képernyő a projekt kezdetén Ha minden rendben, mehetünk tovább, a tündér befejezte a munkáját, rajtunk a sor: a projekt be van állítva, kezdhetjük a munkát. c 2013, [?] A képernyő a projekt kezdetén Végh János Bevezetés az újra konfigurálható eszközök programozásába 16/52

18 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven A Quartus II szövegszerkesztő használata Forrásfájl hozzáadás a projekthez Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 17/52

19 A lights projekt Az egyetlen fényforrást két kapcsolóról (x1 és x2) vezérelhetjük; a zárt kapcsoló felel meg a logikai 1-nek. c 2013, [?] The light controller circuit A lights projekt terve Verilog nyelven module l i g h t s ( x1, x2, f ) ; input x1, x2 ; output f ; assign f = ( x1 & ~x2 ) (~x1 & x2 ) ; endmodule A Verilog module neve megegyezik a projekt nevével. Ezt a kódot írja be egy ASCII fájlba. A fájl kötelező kiterjesztése a.v, mivel ez Verilog forrás kód fájl. Végh János Bevezetés az újra konfigurálható eszközök programozásába 18/52

20 Tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven A Quartus II szövegszerkesztő használata Forrásfájl hozzáadás a projekthez Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 19/52

21 A Quartus II szövegszerkesztő használata c 2013, [?] A forráskód típusának kiválasztása A File > New után válasszuk a Verilog HDL File menüpontot, és a szokásos módon mentsük el a fájlt. Elmentéskor maradjunk ennél a típusnál és jelöljük meg az Add file to current project dobozt. Azért is érdemesebb a fejlesztőkörnyezetben írni a kódot, mert használhatjuk a Verilog template gyűjteményét, lásd a Edit > Insert Template > Verilog HDL menü pontot. Végh János Bevezetés az újra konfigurálható eszközök programozásába 20/52

22 Tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven A Quartus II szövegszerkesztő használata Forrásfájl hozzáadás a projekthez Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 21/52

23 Forrásfájl hozzáadás a projekthez c 2013, [?] A forrás fájl lista megtekintése Természetesen meg kell mondanunk a Quartus szoftvernek, hogy milyen fájlokat tekintsen a projekt részének. Az Assignments > Settings menüpontban a Files bejegyzés választásával (vagy a Project > Add/Remove Files in Project menüpont használatával) nézhetjük meg, melyik fájlok vannak már a projektben. Ha eddig pontosan dolgoztunk, akkor a lights.v fájl már szerepel a listában. Végh János Bevezetés az újra konfigurálható eszközök programozásába 22/52

24 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 23/52

25 Az elkészített áramköri terv lefordítása A fordítóprogramot a Processing > Start Compilation választásával ( vagy a segédeszköz sáv lilás háromszög nyomógombjával ) indíthatjuk el. Indítás előtt a projektet el kell menteni. c 2013, [?] A képernyő a sikeres fordítás után A fordítás eredményét a program egy összefoglaló jelentésben közli, amelyet később is megtekinthetünk a Processing > Compilation Report utasítás (vagy a nyomógomb) használatával. Végh János Bevezetés az újra konfigurálható eszközök programozásába 24/52

26 Hibakezelés Ha a fordító hibajelzést ad, legalább egy hiba van a Verilog kódban. Ilyen esetben az üzenet ablakban az egyes hibákra vonatkozó üzenetek jelennek meg. Egy ilyen hibaüzenetre kettőset kattintva, a szövegszerkesztő ablakban kiemelve jelenik meg a hivatkozott Verilog forráskód sor. Érdemes hozzáedződni a hibákhoz, és azonnal gyakorolni egy szándékosan előidézett hiba kijavításának módját. Például, a szerkesztőprogrammal töröljük le az assign-t tartalmazó sor végéről a ; karaktert. Fordítsuk újra ( ), nézzük meg, hogyan veszi észre azt a fordító, aztán "javítsuk ki". Végh János Bevezetés az újra konfigurálható eszközök programozásába 25/52

27 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 26/52

28 Tüske hozzárendelés Az SW0 és SW1 tolókapcsolókat akarjuk használni az x1 és x2 bemenetként kis példa áramkörünkben, az f kimenetet pedig a LEDG0 zöld színű LED-re akarjuk kivezetni. Ehhez gyártói információt kell használnunk, lásd táblázat: Eszköz-FPGA láb összerendelések a DE2 kártyán Komponens SW 0 SW 1 LEDG 0 Tüske PIN_N25 PIN_N26 PIN_AE22 Végh János Bevezetés az újra konfigurálható eszközök programozásába 27/52

29 Tüske hozzárendelés A tüske hozzárendeléseket az Assignment Editor használatával tehetjük meg. Válasszuk a Assignments > Assignment Editor menüpontot. c 2013, [?] A tüske hozzárendelés képernyője A Category legördülő menüben válasszuk az All menüpontot, majd ugyanebben a sorban bal oldalt kattintsunk a «new» dobozra. Az így létrehozott új bejegyzés sora To oszlopában kettős kattintással hívjuk elő a Node Finder ablakot (a dobozra és nem a legördülő menü ikonjára kattintva). Végh János Bevezetés az újra konfigurálható eszközök programozásába 28/52

30 Tüske hozzárendelés Kattintson a ikonra, majd a Filter sorban válassza a Pins: all bejegyzést, és a List használatával listáztassa ki a használt be- és kimeneteket. A Nodes Found: ablakban megjelennek a hozzá rendelhető f, x1 és x2 összekötési pontok. Kattintással válassza ki az x1-et, és kattintson a > gombra; így a x1 átkerül a Selected Nodes dobozba. Hagyja jóvá a ctextok gombbal. c 2013, [?] A kimeneti és bemeneti jelek megjelenítése a Node Finder ablakban Végh János Bevezetés az újra konfigurálható eszközök programozásába 29/52

31 Tüske hozzárendelés Kövesse ugyanezt az eljárást az új x1 bejegyzés jobb oldali szomszédjával, az Assignment Name oszlopban. Görgesse lefelé és válassza a Location (Accepts wildcards/groups) bejegyzést. Végül hasonló módon kattintson a Value oszlopban levő dobozra és írja be az SW0 eszközhöz rendelt tüske nevét. c 2013, [?] A kimeneti és bemeneti jelek megjelenítése a Node Finder ablakban Kövesse ugyanezt az eljárást az x2 és f jelekre. Végh János Bevezetés az újra konfigurálható eszközök programozásába 30/52

32 Tüske hozzárendelés Az elkészített hozzárendeléseket a File > Save utasítással véglegesítheti. Ha ezután újra fordítja a tervet, az már a helyes összerendelésekkel fog lefordulni. c 2013, [?] A tüske hozzárendelések végeredménye a DE2 kártya esetén A DE2-sorozatú kártyán a hozzárendelések állandók. A beállításokat ezért exportálni és importálni is lehet, Quartus II Settings File (QSF) formátumban. A fenti egyszerű terv összerendeléseinek fájl formátuma set_location_assignment PIN_N25 -to x1 set_location_assignment PIN_N26 -to x2 set_location_assignment PIN_AE22 -to f Végh János Bevezetés az újra konfigurálható eszközök programozásába 31/52

33 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Funkcionális szimuláció Időhelyes szimuláció Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 32/52

34 A megtervezett áramkör szimulálása A fő Quartus R II ablakban válassza a File > New > Verification/Debugging Files > University Program VWF menüpontot a Simulation Waveform Editor Állítsa be a szimulációs folyamat kívánt hosszát, a Edit > Set End Time menüpontban, majd a View >Fit in Window menüponttal állítsa be az ablakot a kívánt időtartam lefedésére. c 2013, [?] A Waveform Editor ablak, konfigurálva Végh János Bevezetés az újra konfigurálható eszközök programozásába 33/52

35 A szimulálandó jelek kiválasztása Ezután, válassza ki a szimulálandó áramkör bemeneteit és kimeneteit. Kattintson az Edit > Insert > Insert Node or Bus menüre, majd az ennek hatására felugró ablakban a Node Finder gombra. A Filter: mezőben válassza a Pins: all lehetőséget, majd a List gombbal soroltassa fel a lehetséges ki- és bemenő jeleket. A kilistázott elemek közül kattintson az x1re, majd azt vigye át a >re kattintva a Selected Nodes dobozba. Hajtsa végre ugyanezt az x2 és f jelekkel. Egy OK nyomásával zárja be a Node Finder ablakot, egy újabb OK nyomásával kapja meg az ábrán látható képet. Végh János Bevezetés az újra konfigurálható eszközök programozásába 34/52

36 A szimulálandó teszt vektor elkészítése Most adja meg azokat a logikai értékeket, amelyeket az x1 és x2 fog használni a szimuláció során. Kattintson az x1 hullámformára. Az egyes értékeket az Edit > Value utasítással, vagy a Waveform Editor eszköztár sorából állíthatja be. Állítsa az x1et 0 értékre a 0 és 100 ns közötti idő intervallumban. Ezután állítsa az x1et 1 értékre a 100 és 200 ns közötti intervallumban. Ehhez nyomja le az egér gombot az intervallum kezdetén, és vonszolja az egeret az intervallum végéhez. ezután választhatja az 1 értéket az eszköztár használatával. Hasonló módon, állítsa be az x2 = 1 értéket az 50 és 100, valamint a 150 és 200 ns közötti intervallumban. Mentse el a fájlt. Végh János Bevezetés az újra konfigurálható eszközök programozásába 35/52

37 A megtervezett áramkör szimulálása c 2013, [?] A bemenő jelek értékeinek beállítása teszteléshez feltételezzük, hogy az FPGA logikai elemei és az összekötések tökéletesek, azaz nem okoznak terjedési késleltetést az áramkör jeleinek továbbítása során. Ezt hívják funkcionális szimulációnak. ha minden terjedési késleltetést számításba veszünk, ami már az időzített szimulációhoz vezet. Végh János Bevezetés az újra konfigurálható eszközök programozásába 36/52

38 Tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Funkcionális szimuláció Időhelyes szimuláció Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 37/52

39 Funkcionális szimuláció A funkcionális szimuláció előtt le kell futtani az Analysis and Synthesis fordítási fázist is, a fő ablak ikonjának választásával. A funkcionális szimuláció elvégzéséhez válassza a Simulation > Run Functional Simulation menüpontot vagy a ikont. A szimuláció végén egy második Waveform Editor ablak nyílik meg, amelyik a szimuláció eredményét mutatja. Figyelje meg és értelmezze az f kimenet értékét. c 2013, [?] A funkcionális tesztelés végereménye Végh János Bevezetés az újra konfigurálható eszközök programozásába 38/52

40 Tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Funkcionális szimuláció Időhelyes szimuláció Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 39/52

41 Időhelyes szimuláció A funkcionális szimulációtól eltérően, az időhelyes szimuláció a terv teljes lefodítását igényli, nem elegendő csupán az Analysis and Synthesis fázis elvégzése. Az időhelyes szimuláció elvégzéséhez válassza a Simulation >Run Timing Simulation menüpontot vagy a ikont. A szimuláció eredménye látható az ábrán. c 2013, [?] Az időhelyes tesztelés végereménye Végh János Bevezetés az újra konfigurálható eszközök programozásába 40/52

42 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása JTAG programozás Aktív soros módú programozás A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 41/52

43 Az FPGA eszköz programozása és konfigurálása A konfigurációs adatokat a kártyára a (Quartus-II szoftvert futtató) gazda számítógépről olyan kábellel viheti át, amelyik a számítógép USB portját a kártya balról az első USB csatlakozójához kapcsolja. Ennek a kapcsolatnak a használatához előzetesen installálni kell az USB-Blaster meghajtót. A JTAG módban a konfigurációs adat közvetlenül az FPGA eszközbe töltődik. Ha az FPGA-t ilyen módon konfigurálja, az mindaddig megtartja a konfigurációját, amíg a tápfeszültség be van kapcsolva. A konfiguráló információ viszont elvész, ha a tápfeszültség kikapcsolódik. A másik lehetőség az Active Serial (AS) mód használata. Ebben az esetben a konfigurációs adat tárolására egy flash memóriát tartalmazó konfigurációs eszközt használunk. A két mód között a DE-sorozatú kártyán levő RUN/PROG kapcsolóval válaszhatunk. A RUN helyzetben a JTAG, a PROG helyzetben az AS módot használja a kártya. Végh János Bevezetés az újra konfigurálható eszközök programozásába 42/52

44 Tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása JTAG programozás Aktív soros módú programozás A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 43/52

45 JTAG programozás c 2013, [?] A Programmer ablak Kapcsolja a RUN/PROG kapcsolót RUN helyzetbe. Válassza a Tools > Programmer menüpontot a programozó ablak ablak előhívására. Ha alapértelmezettként nem az szerepel, akkor válassza a JTAG módot a Mode dobozban. Hasonlóképpen, ha az USB-Blaster nem alapértelmezett, válassza a Hardware Setup... nyomógombot és válassza ki az USB-Blastert. Jelölje meg a Program/Configure dobozt! Végh János Bevezetés az újra konfigurálható eszközök programozásába 44/52

46 Tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása JTAG programozás Aktív soros módú programozás A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 45/52

47 Aktív soros módú programozás Ebben az esetben a konfigurációs adatokat a DE-sorozatú kártyákon levő konfigurációs eszközbe töltjük, a bekapcsoláskor ebből töltődik át az FPGA-ba. A gyártó ebbe az eszközbe egy olyan, az eszköz működőképességét bizonyító konfigurációt töltött, amelyet a gyakorlatvezető az eszköz működőképességének ellenőrzésére használ. Félreértések elkerülése végett, ne használja! Azaz, a RUN/PROG kapcsolót tartsa RUN helyzetben. Az érdeklődők a Quartus_II_Introduction.pdf dokumentumban megtalálják az ilyen módú programozás részleteit. Végh János Bevezetés az újra konfigurálható eszközök programozásába 46/52

48 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 47/52

49 A megtervezett áramkör tesztelése Miután letöltötte a konfigurációs adatokat az FPGA eszközre, tesztelheti a megvalósított áramkör működését. Kapcsolja a RUN/PROG kapcsolót RUN helyzetbe. Próbálja ki az áramkört a x1 és x2 bemenő változók mind a négy értékénél, a megfelelő állapotokat az SW0 és SW1 kapcsolók megfelelő állásaival előállítva. Győződjön meg róla, hogy a megvalósított áramkör az igazságtáblázatnak megfelelően működik. Ha valami változtatást akar végezni a tervezett áramkörön, először csukja be a Programmer ablakot. Ezután végezze el a kívánt változtaásokat a Verilog forrás kódon, fordítsa le, és programozza fel a kártyát a megismert módon. Végh János Bevezetés az újra konfigurálható eszközök programozásába 48/52

50 Szakasz tartalomjegyzék 1 Bevezetés Háttér ismeretek Előkészületek Új projekt indítása A terv elkészítése Verilog nyelven Az elkészített áramköri terv lefordítása Tüske hozzárendelés A megtervezett áramkör szimulálása Az FPGA eszköz programozása és konfigurálása A megtervezett áramkör tesztelése Gyakorlatok Végh János Bevezetés az újra konfigurálható eszközök programozásába 49/52

51 Belső jel tesztelése Győzze meg a gyakorlatvezetőt, hogy a két invertált jel tényleg a bemenő jellel ellentétes fázisban változik. Készítse el a terv olyan módosítását, amelyikben szerepel a két invertált jel, mondjuk x1i és x2i néven Készítse el a szimuláció módosított változatát, amely a fenti két jel állapotát is mutatja Vezesse ki egy-egy tüskére a két jelet, és készítsen egy letölthető programot, amelyik két LED felhasználásával mutatja az illető jelet a DE2 kártyán Útmutatás Használjon a logikai kifejezésben közbülső változót és nézze meg a Verilog nyelvben, hogyan veheti rá a szimulátort, hogy a szintézer ne tüntesse el az optimalizálás során a (valóban szükségtelen) közbülső értékeket Definiáljon újabb kimenő paramétereket A használt tüskét listáját bővítse ki a... értékekkel Végh János Bevezetés az újra konfigurálható eszközök programozásába 50/52

52 Belső jel tesztelése Győzze meg a gyakorlatvezetőt, hogy a két invertált jel tényleg a bemenő jellel ellentétes fázisban változik. Készítse el a terv olyan módosítását, amelyikben szerepel a két invertált jel, mondjuk x1i és x2i néven Készítse el a szimuláció módosított változatát, amely a fenti két jel állapotát is mutatja Vezesse ki egy-egy tüskére a két jelet, és készítsen egy letölthető programot, amelyik két LED felhasználásával mutatja az illető jelet a DE2 kártyán Útmutatás Használjon a logikai kifejezésben közbülső változót és nézze meg a Verilog nyelvben, hogyan veheti rá a szimulátort, hogy a szintézer ne tüntesse el az optimalizálás során a (valóban szükségtelen) közbülső értékeket Definiáljon újabb kimenő paramétereket A használt tüskét listáját bővítse ki a... értékekkel Végh János Bevezetés az újra konfigurálható eszközök programozásába 50/52

53 Egyszerű PIN-kód ellenőrző készítése Készítsen egy egyszerű PIN-kód ellenőrző áramkört, amelyik piros LED-et kapcsol be, ha a PIN kód helytelen és zöldet, ha helyes. Legyen a PIN kód egy 4-bites érték, amelyet a Verilog kódban konstansként megad Definiáljon négy tolókapcsolót, amelyekkel megadhatja a próba PIN kódot Definiáljon két LED-et, amelyik a helyes és a helytelen PIN kód esetén bekapcsol Bizonyítsa az áramkör működőképességét szimulátorral is Útmutatás Érdemes az egyes összehasonlításokra a kizáró VAGY vizsgálatot használni Mind a négy bitnek meg kell egyezni Végh János Bevezetés az újra konfigurálható eszközök programozásába 51/52

54 Egyszerű PIN-kód ellenőrző készítése Készítsen egy egyszerű PIN-kód ellenőrző áramkört, amelyik piros LED-et kapcsol be, ha a PIN kód helytelen és zöldet, ha helyes. Legyen a PIN kód egy 4-bites érték, amelyet a Verilog kódban konstansként megad Definiáljon négy tolókapcsolót, amelyekkel megadhatja a próba PIN kódot Definiáljon két LED-et, amelyik a helyes és a helytelen PIN kód esetén bekapcsol Bizonyítsa az áramkör működőképességét szimulátorral is Útmutatás Érdemes az egyes összehasonlításokra a kizáró VAGY vizsgálatot használni Mind a négy bitnek meg kell egyezni Végh János Bevezetés az újra konfigurálható eszközök programozásába 51/52

55 Módosított PIN-kód ellenőrző készítése A PIN-kód túl könnyen megfejthető, a kapcsolók tologatásával. Módosítsa a tervet lépésenként, az alábbiak szerint Módosítsa úgy a tervet, hogy az összehasonlítás csak egy nyomógomb megnyomásakor történjen meg. Módosítsa úgy a tervet, hogy legfeljebb háromszor lehessen próbálkozni, ezután riasszon a rendszer (egy LED-del) Egy másik nyomógombbal ilyen esetben lehessen a rendszert egy adminisztrátornak alapállapotba visszaállítani Útmutatás Ismételje át az always használatát Végh János Bevezetés az újra konfigurálható eszközök programozásába 52/52

56 Módosított PIN-kód ellenőrző készítése A PIN-kód túl könnyen megfejthető, a kapcsolók tologatásával. Módosítsa a tervet lépésenként, az alábbiak szerint Módosítsa úgy a tervet, hogy az összehasonlítás csak egy nyomógomb megnyomásakor történjen meg. Módosítsa úgy a tervet, hogy legfeljebb háromszor lehessen próbálkozni, ezután riasszon a rendszer (egy LED-del) Egy másik nyomógombbal ilyen esetben lehessen a rendszert egy adminisztrátornak alapállapotba visszaállítani Útmutatás Ismételje át az always használatát Végh János Bevezetés az újra konfigurálható eszközök programozásába 52/52

57 Hivatkozások és hasznos címek I Bevezetés az újra konfigurálható eszközök programozásába Végh János Bevezetés az újra konfigurálható eszközök programozásába 53/52

Digitális technika (VIMIAA01) Laboratórium 1

Digitális technika (VIMIAA01) Laboratórium 1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 1 Fehér Béla Raikovich Tamás,

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: egyszerű logikai kapuk vizsgálata Logikai műveletek Tervezz egy egyszerű logikai kapukat

Részletesebben

Magyar. Biztonsági információk. Magyar

Magyar. Biztonsági információk. Magyar Az útmutató tartalma: Biztonsági információk, 29. oldal. Az üzembe helyezéssel kapcsolatos hibaelhárítás, 30. oldal. További információk keresése, 34. oldal. Biztonsági információk A készülékhez csak a

Részletesebben

Tanúsítvány és hozzá tartozó kulcsok feltöltése Gemalto.NET kártyára

Tanúsítvány és hozzá tartozó kulcsok feltöltése Gemalto.NET kártyára Tanúsítvány és hozzá tartozó kulcsok feltöltése Gemalto.NET kártyára Windows XP, Vista és Windows 7, valamint Windows 2003 operációs rendszeren, PFX fájlban található tanúsítvány és kulcsok esetében 1(12)

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa Fehér Béla

Részletesebben

Készítsen négy oldalas prezentációt egy vállalat bemutatására!

Készítsen négy oldalas prezentációt egy vállalat bemutatására! 1. feladat Készítsen négy oldalas prezentációt egy vállalat bemutatására! 1. A prezentáció háttere világoskék színű legyen, átlósan le árnyékolással. 2. Az első dia bal oldalán, felül a cég neve olvasható:

Részletesebben

Számítógépes vírusok

Számítógépes vírusok A vírus fogalma A számítógépes vírus olyan szoftver, mely képes önmaga megsokszorozására és terjesztésére. A vírus célja általában a számítógép rendeltetésszerű működésének megzavarása, esetleg a gép tönkretétele,

Részletesebben

Az első lépések. A Start menüből válasszuk ki a Minden program parancsot. A megjelenő listában kattintsunk rá az indítandó program nevére.

Az első lépések. A Start menüből válasszuk ki a Minden program parancsot. A megjelenő listában kattintsunk rá az indítandó program nevére. A számítógép elindítása A számítógépet felépítő eszközöket (hardver elemeket) a számítógépház foglalja magába. A ház különböző méretű, kialakítású lehet. A hátoldalán a beépített elemek csatlakozói, előlapján

Részletesebben

SAP JAM. Felhasználói segédlet

SAP JAM. Felhasználói segédlet SAP JAM Felhasználói segédlet Belépés A JAM modul az SAP SuccessFactors rendszer része. Tökéletesen biztonságos online rendszer. Felhasználónév és jelszó segítségével lehet bejelentkezni. Böngészőbe beírva

Részletesebben

Tanúsítvány és hozzá tartozó kulcsok feltöltése Oberthur kártyára és Oberthur SIM termékre

Tanúsítvány és hozzá tartozó kulcsok feltöltése Oberthur kártyára és Oberthur SIM termékre Tanúsítvány és hozzá tartozó kulcsok feltöltése Oberthur kártyára és Oberthur SIM termékre Windows XP, Vista és Windows 7 operációs rendszeren, PFX fájlban található tanúsítvány és kulcsok esetében 1(7)

Részletesebben

Prop-Tech. Vázmérő. Telepítési és eltávolítási útmutató

Prop-Tech. Vázmérő. Telepítési és eltávolítási útmutató Prop-Tech Telepítési és eltávolítási útmutató Magyar Hungarian 5 / 1 Prop-Tech Vázmérő Telepítési és eltávolítási útmutató Tartalomjegyzék Telepítés... 2 Előfeltételek... 2 Telepítés folyamata... 2 Biztonsággal

Részletesebben

AWP 4.4.4 TELEPÍTÉSE- WINDOWS7 64 OPERÁCIÓS RENDSZEREN

AWP 4.4.4 TELEPÍTÉSE- WINDOWS7 64 OPERÁCIÓS RENDSZEREN Hatályos: 2014. február 13. napjától AWP 4.4.4 TELEPÍTÉSE- WINDOWS7 64 OPERÁCIÓS RENDSZEREN Telepítési segédlet 1054 Budapest, Vadász utca 31. Telefon: (1) 428-5600, (1) 269-2270 Fax: (1) 269-5458 www.giro.hu

Részletesebben

SÜTIK TÖRLÉSE. Készült: 2015. 08. 08. Módosítva: 2016. 04. 18.

SÜTIK TÖRLÉSE. Készült: 2015. 08. 08. Módosítva: 2016. 04. 18. SÜTIK TÖRLÉSE Ez a segédlet azért készült, hogy segítséget nyújtson az ÉTDR-ben esetlegesen bekövetkező, böngésző által eltárolt adatok miatti hibák elhárításához Készült: 2015. 08. 08. Módosítva: 2016.

Részletesebben

Vodafone ReadyPay. Használati útmutató

Vodafone ReadyPay. Használati útmutató Vodafone ReadyPay Használati útmutató 1 - Párosítás Bluetooth-on keresztül, első beállítások 2 - Fizetés 3 - Menüpontok Párosítás Bluetooth-on keresztül, első beállítások Az első lépés Megjegyzés: A ReadyPay

Részletesebben

Vodafone Connect Now

Vodafone Connect Now Vodafone Connect Now Felhasználói kézikönyv BEVEZETÉS MŰSZAKI FELTÉTELEK SZOFTVERTELEPÍTÉS A Connect Now telepítése a számítógépen Korábbi verzió frissítése A Connect Now eltávolítása a számítógépről MODEMEK

Részletesebben

Belépési útmutató a MIAG weboldalra www.miag.com

Belépési útmutató a MIAG weboldalra www.miag.com Belépési útmutató a MIAG weboldalra www.miag.com Classification level: Public MEMBER OF METRO GROUP 1 Tartalom 1. Fontos tudnivaló p. 3 2. Bejelentkezés a www.miag.com weboldalra p. 4-5 3. E-mail cím regisztrálása

Részletesebben

Vektoros elemzés végrehajtása QGIS GRASS moduljával 1.7 dr. Siki Zoltán

Vektoros elemzés végrehajtása QGIS GRASS moduljával 1.7 dr. Siki Zoltán Vektoros elemzés végrehajtása QGIS GRASS moduljával 1.7 dr. Siki Zoltán Egy mintapéldán keresztül mutatjuk be a GRASS vektoros elemzési műveleteit. Az elemzési mintafeladat során gumipitypang termesztésére

Részletesebben

Üresként jelölt CRF visszaállítása

Üresként jelölt CRF visszaállítása Üresként jelölt CRF visszaállítása Ha egy CRF vagy bizonyos mező(k) ki vannak szürkítve (üresként jelölve), akkor a megjelölés üresként eszközre kell kattintania, majd törölni a kiválasztott jelölőnégyzet

Részletesebben

FTP-kapcsolat létrehozása FlashFXP alatt

FTP-kapcsolat létrehozása FlashFXP alatt Telepítés után elindul a FlashFXP, a következő képernyő fogadja majd a felhasználót. A programban 2 lehetőség van FTP-kapcsolat létesítésére. Egy úgynevezett quick connect, illetve van egy lehetőség csatlakozás

Részletesebben

A Felhasználónév és Jelszó mezőkbe írjuk be az adatainkat, majd kattintsunk a Bejelentkezés gombra, vagy üssük le az Enter billentyűt.

A Felhasználónév és Jelszó mezőkbe írjuk be az adatainkat, majd kattintsunk a Bejelentkezés gombra, vagy üssük le az Enter billentyűt. A GMAIL levelező rendszer indítása Indítsuk el a számítógépünkre telepített internet böngésző programunkat. (pl. Internet Explorer, Google Chrome, Mozilla Firefox, stb.) A böngésző címsorába írjuk be:

Részletesebben

IDE64 dokumentáció. A merevlemez előkészítése az első használatra. 1. Előkészítés. 2. Csatlakoztatás. 3. Segédprogram másolás

IDE64 dokumentáció. A merevlemez előkészítése az első használatra. 1. Előkészítés. 2. Csatlakoztatás. 3. Segédprogram másolás IDE64 dokumentáció A merevlemez előkészítése az első használatra 1. Előkészítés Első lépésben a szükséges segédprogramokat kell előkészíteni hogy át tudjuk rakni az 1541-es floppylemezre. Ha nincs jól

Részletesebben

Laborgyakorlat Windows 7 upgrade-je, felhasználói adatok költöztetése

Laborgyakorlat Windows 7 upgrade-je, felhasználói adatok költöztetése Információtechnológiai alapok Operációs rendszerek Laborgyakorlat Windows 7 upgrade-je, felhasználói adatok költöztetése Bevezetés Nyomtasd ki és oldd meg a feladatokat! A laborgyakorlaton Windows 7 operációs

Részletesebben

Ablakok használata. 1. ábra Programablak

Ablakok használata. 1. ábra Programablak Ha elindítunk egy programot, az egy Ablakban jelenik meg. A program az üzeneteit szintén egy újabb ablakban írja ki számunkra. Mindig ablakokban dolgozunk. Az ismertetett operációs rendszer is az Ablakok

Részletesebben

A táblázatkezelő felépítése

A táblázatkezelő felépítése A táblázatkezelés A táblázatkezelő felépítése A táblázatkezelő felépítése Címsor: A munkafüzet címét mutatja, és a program nevét, amivel megnyitottam. Menüszalag: A menüsor segítségével használhatjuk az

Részletesebben

beállítások a postafiók használatához

beállítások a postafiók használatához E-mail beállítások a Cor@Net postafiók használatához Cor@Net Távközlési Zrt. Tartalom Tartalom... 2 Microsoft Outlook Express beállítása POP3 típusú levelezéshez... 3 Microsoft Outlook beállítása POP3

Részletesebben

Windows XP: Windows 7 32bit. Windows 7 64bit. Windows 8.1 32bit. Windows 8/8.1 64bit. Ajánlott linkek. OpenVPN beüzemelése Windows rendszereken

Windows XP: Windows 7 32bit. Windows 7 64bit. Windows 8.1 32bit. Windows 8/8.1 64bit. Ajánlott linkek. OpenVPN beüzemelése Windows rendszereken Windows XP Windows 7 32bit Windows 7 64bit Windows 8.1 32bit Windows 8/8.1 64bit Ajánlott linkek OpenVPN beüzemelése Windows rendszereken Az OpenVPN programcsomag Windows XP, Windows 7 (32/64bit) és Windows

Részletesebben

Árverés kezelés ECP WEBSHOP BEÉPÜLŐ MODUL ÁRVERÉS KEZELŐ KIEGÉSZÍTÉS. v2.9.28 ECP WEBSHOP V1.8 WEBÁRUHÁZ MODULHOZ

Árverés kezelés ECP WEBSHOP BEÉPÜLŐ MODUL ÁRVERÉS KEZELŐ KIEGÉSZÍTÉS. v2.9.28 ECP WEBSHOP V1.8 WEBÁRUHÁZ MODULHOZ v2.9.28 Árverés kezelés ECP WEBSHOP BEÉPÜLŐ MODUL ÁRVERÉS KEZELŐ KIEGÉSZÍTÉS ECP WEBSHOP V1.8 WEBÁRUHÁZ MODULHOZ AW STUDIO Nyíregyháza, Luther utca 5. 1/5, info@awstudio.hu Árverés létrehozása Az árverésre

Részletesebben

Beállítások CLASSBOOK-óratervező. Első belépés

Beállítások CLASSBOOK-óratervező. Első belépés Beállítások CLASSBOOK-óratervező Első belépés 1, Kattintsunk az asztalon lévő óratervező program ikonjára! A következő képernyőkép jelenik meg: 2, Olvassuk el az instrukciót figyelmesen! 3, Az Azonosítót

Részletesebben

My Hipernet Home üzembehelyezési útmutató

My Hipernet Home üzembehelyezési útmutató My Hipernet Home üzembehelyezési útmutató HIPERNET AKTIVÁLÁSA Kedves Ügyfelünk! Köszönjük, hogy a My Hipernet Home szolgáltatásunkat választottad. Biztosak vagyunk benne, hogy a kiváló min ség D-Link DWR-116

Részletesebben

1. Metrótörténet. A feladat folytatása a következő oldalon található. Informatika emelt szint. m2_blaha.jpg, m3_nagyvaradter.jpg és m4_furopajzs.jpg.

1. Metrótörténet. A feladat folytatása a következő oldalon található. Informatika emelt szint. m2_blaha.jpg, m3_nagyvaradter.jpg és m4_furopajzs.jpg. 1. Metrótörténet A fővárosi metróhálózat a tömegközlekedés gerincét adja. A vonalak építésének története egészen a XIX. század végéig nyúlik vissza. Feladata, hogy készítse el a négy metróvonal történetét

Részletesebben

Vodafone GPRS Kapcsolat létrehozása Win2000 alatt a Connect Me használata nélkül

Vodafone GPRS Kapcsolat létrehozása Win2000 alatt a Connect Me használata nélkül Vodafone GPRS Kapcsolat létrehozása Win2000 alatt a Connect Me használata nélkül - A képek az angol verziót mutatják - 29/03/2004 1 Start menü Magyar: Start menü Beállítások Telefonos kapcsolatok Ha itt

Részletesebben

FORTE MAP 5.0 Felhasználói tájékoztató

FORTE MAP 5.0 Felhasználói tájékoztató FORTE MAP 5.0 Felhasználói tájékoztató InterMap Kft 2010 Tartalom FORTE MAP 5.0 Felhasználói tájékoztató... 0 A kezelőfelület ismertetése... 1 Navigálás a térképen... 1 Objektum kijelölése... 3 Jelmagyarázat...

Részletesebben

Tanúsítvány feltöltése Gemalto.NET kártyára és Gemalto SIM termékre

Tanúsítvány feltöltése Gemalto.NET kártyára és Gemalto SIM termékre Tanúsítvány feltöltése Gemalto.NET kártyára és Gemalto SIM termékre Windows XP, Vista és Windows 7 operációs rendszeren 1(7) 1. Tartalomjegyzék 1. Tartalomjegyzék... 2 2. Bevezető... 3 3. Tanúsítvány feltöltése...

Részletesebben

HENYIR felhasználói dokumentáció

HENYIR felhasználói dokumentáció HENYIR felhasználói dokumentáció A HENYIR alkalmazás segítségével az egészségügyi dolgozók foglalkoztatásával kapcsolatos adatokat tartalmazó űrlap beküldését lehet elvégezni. Az alkalmazás a www.antsz.hu

Részletesebben

Shared IMAP beállítása magyar nyelvű webmailes felületen

Shared IMAP beállítása magyar nyelvű webmailes felületen Shared IMAP beállítása magyar nyelvű webmailes felületen A következő ismertető segítséget nyújt a szervezeti cím küldőként való beállításában a caesar Webmailes felületén. Ahhoz, hogy a Shared Imaphoz

Részletesebben

Töltse ki értelemszerűen a vevő nevét, irányítószámát, település, utca házszám mezőket, valamint a partner adószáma mezőket.

Töltse ki értelemszerűen a vevő nevét, irányítószámát, település, utca házszám mezőket, valamint a partner adószáma mezőket. 4.2.3 ÚJ SZÁLLÍTÓLEVÉL KIÁLLÍTÁSA Új szállítólevél kiállítását a vevői szállítólevelek listájából, a bal felső Új vevői szállítólevél nyomógombbal kezdeményezhetjük. Ennek hatására megjelenik a szállítólevél

Részletesebben

Külső eszközök Felhasználói útmutató

Külső eszközök Felhasználói útmutató Külső eszközök Felhasználói útmutató Copyright 2008 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat. A HP termékeire és szolgáltatásaira vonatkozó

Részletesebben

Felhasználói útmutató Dahua gyártmányú digitális képrögzítő eszközökhöz

Felhasználói útmutató Dahua gyártmányú digitális képrögzítő eszközökhöz Felhasználói útmutató Dahua gyártmányú digitális képrögzítő eszközökhöz 1 Bejelentkezés Alapesetben, a fent látható 888888 vagy admin felhasználóval illetve az elsőnél 888888 a másodiknál admin jelszóval

Részletesebben

Kérjük, hogy mielőtt elkezdené használni a Csavarhat webáruházat, gondosan olvassa végig ezt a segédletet.

Kérjük, hogy mielőtt elkezdené használni a Csavarhat webáruházat, gondosan olvassa végig ezt a segédletet. Csavarhat webáruház Részletes útmutató a webáruház használatához Kérjük, hogy mielőtt elkezdené használni a Csavarhat webáruházat, gondosan olvassa végig ezt a segédletet. Cégeknek, kis- és nagykereskedőknek,

Részletesebben

A Hozzárendelési feladat megoldása Magyar-módszerrel

A Hozzárendelési feladat megoldása Magyar-módszerrel A Hozzárendelési feladat megoldása Magyar-módszerrel Virtuális vállalat 2013-2014/1. félév 3. gyakorlat Dr. Kulcsár Gyula A Hozzárendelési feladat Adott meghatározott számú gép és ugyanannyi független

Részletesebben

Disk Station DS209, DS209+II

Disk Station DS209, DS209+II Disk Station DS209, DS209+II Gyors telepítési útmutató Dokument-azonosító: Synology_QIG_2BayCL_20090901 BIZTONSÁGI UTASÍTÁSOK Kérjük, hogy használat előtt gondosan olvassa el ezeket a biztonsági utasításokat,

Részletesebben

Párhuzamos programozás

Párhuzamos programozás Párhuzamos programozás Rendezések Készítette: Györkő Péter EHA: GYPMABT.ELTE Nappali tagozat Programtervező matematikus szak Budapest, 2009 május 9. Bevezetés A számítástechnikában felmerülő problémák

Részletesebben

A) Belépés a Webinar felületére

A) Belépés a Webinar felületére A) Belépés a Webinar felületére A webinar a visszaigazoló emailben megadott linkre kattintva indítható el. A képernyő jobb oldalán először a kereszt- (First name), illetve a vezetéknevét (Last name), majd

Részletesebben

A beállítási segédprogram Használati útmutató

A beállítási segédprogram Használati útmutató A beállítási segédprogram Használati útmutató Copyright 2007, 2008 Hewlett-Packard Development Company, L.P. A Windows elnevezés a Microsoft Corporation bejegyzett kereskedelmi védjegye az Amerikai Egyesült

Részletesebben

Külső kártyaeszközök Felhasználói útmutató

Külső kártyaeszközök Felhasználói útmutató Külső kártyaeszközök Felhasználói útmutató Az SD embléma a jogtulajdonos védjegye. Copyright 2009 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat.

Részletesebben

ÚTMUTATÓ A KONTROLL ADATSZOLGÁLTATÁS ELKÉSZÍTÉSÉHEZ (2012-TŐL)

ÚTMUTATÓ A KONTROLL ADATSZOLGÁLTATÁS ELKÉSZÍTÉSÉHEZ (2012-TŐL) ÚTMUTATÓ A KONTROLL ADATSZOLGÁLTATÁS ELKÉSZÍTÉSÉHEZ (2012-TŐL) A 2006-2010. évre vonatkozó, régebbi adatszolgáltatások esetében az adatszolgáltatás menete a mostanitól eltérő, a benyújtáshoz különböző

Részletesebben

Dial-UP Kapcsolat létrehozása angol nyelvű Windows 2000 alatt

Dial-UP Kapcsolat létrehozása angol nyelvű Windows 2000 alatt Első lépésként válassza a Startmenüt, azon belül a Settings pontot, majd a Network and Dial-up Connectionst és azon belül a Make New Connection lehetőséget. Amennyiben nem szerepel Network and Dial-up

Részletesebben

SJ5000+ MENÜBEÁLLÍTÁSOK. E l e c t r o p o i n t K f t., 1 0 4 4 B u d a p e s t, M e g y e r i ú t 1 1 6. F s z. 1. Oldal 1

SJ5000+ MENÜBEÁLLÍTÁSOK. E l e c t r o p o i n t K f t., 1 0 4 4 B u d a p e s t, M e g y e r i ú t 1 1 6. F s z. 1. Oldal 1 SJ5000+ MENÜBEÁLLÍTÁSOK E l e c t r o p o i n t K f t., 1 0 4 4 B u d a p e s t, M e g y e r i ú t 1 1 6. F s z. 1. Oldal 1 FIGYELMEZTETÉS! A vízálló tok gombjai nagyon erős rugóval vannak ellátva, ezért

Részletesebben

Külső eszközök Felhasználói útmutató

Külső eszközök Felhasználói útmutató Külső eszközök Felhasználói útmutató Copyright 2009 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat. A HP termékeire és szolgáltatásaira vonatkozó

Részletesebben

TMS Learning: Vezetői extrák

TMS Learning: Vezetői extrák Talent Management System (TMS) Bevezetés TMS Learning: Vezetői extrák Ha közvetlen beosztottakkal dolgozol, akkor a TMS Learning kezdőlapján látni fogsz egy plusz, My Employees lapot. A My Employees egy

Részletesebben

MATEMATIKA ÉRETTSÉGI TÍPUSFELADATOK KÖZÉPSZINT Függvények

MATEMATIKA ÉRETTSÉGI TÍPUSFELADATOK KÖZÉPSZINT Függvények MATEMATIKA ÉRETTSÉGI TÍPUSFELADATOK KÖZÉPSZINT Függvények A szürkített hátterű feladatrészek nem tartoznak az érintett témakörhöz, azonban szolgálhatnak fontos információval az érintett feladatrészek megoldásához!

Részletesebben

Programozás I. - 9. gyakorlat

Programozás I. - 9. gyakorlat Programozás I. - 9. gyakorlat Mutatók, dinamikus memóriakezelés Tar Péter 1 Pannon Egyetem M szaki Informatikai Kar Rendszer- és Számítástudományi Tanszék Utolsó frissítés: November 9, 2009 1 tar@dcs.vein.hu

Részletesebben

Kép részlet kivágás útvonalak létrehozása és szerkesztése útvonalak kijelöléssé alakítása Kijelölés > Lágy szél

Kép részlet kivágás útvonalak létrehozása és szerkesztése útvonalak kijelöléssé alakítása Kijelölés > Lágy szél Kép részlet kivágás 2. Az útvonalak létrehozása és szerkesztése eszközzel rajzoljuk körbe a kijelölésre szánt részt 3. Az Útvonalak palettán kattintsunk az útvonalak kijelöléssé alakítása gomra. 4. Most

Részletesebben

Wi-Fi Direct Útmutatója

Wi-Fi Direct Útmutatója Wi-Fi Direct Útmutatója A verzió HUN Vonatkozó modellek Ez a Használati útmutató az alábbi modellekre vonatkozik: DCP-J4110DW, MFC-J4410DW/J4510DW/J4610DW Megjegyzések definíciója A Használati útmutatóban

Részletesebben

HWDEV-02A GSM TERMOSZTÁT

HWDEV-02A GSM TERMOSZTÁT HWDEV-02A GSM TERMOSZTÁT 2010 HASZNÁLATI ÚTMUTATÓ A termosztát egy beépített mobiltelefonnal rendelkezik. Ez fogadja az Ön hívását ha felhívja a termosztát telefonszámát. Érdemes ezt a telefonszámot felírni

Részletesebben

MAGYAR KÜZDELMEK HARMADIK GYAKORLAT ELINDULÁS NYERS SZÖVEG RENDBETÉTELE (ISMÉTLÉS) ÜZLETI INFORMATIKAI ESZKÖZÖK Kiadványszerkesztés

MAGYAR KÜZDELMEK HARMADIK GYAKORLAT ELINDULÁS NYERS SZÖVEG RENDBETÉTELE (ISMÉTLÉS) ÜZLETI INFORMATIKAI ESZKÖZÖK Kiadványszerkesztés 1 HARMADIK GYAKORLAT MAGYAR KÜZDELMEK A feladat megoldása során a Word 2010 használata a javasolt. Ebben a feladatban a következőket fogjuk gyakorolni: Kötetegyesítés. Címsorok előléptetése. Vázlat nézet

Részletesebben

Felhasználói kézikönyv ACR-3580. Rádiós ébresztőóra (Olvassa el használat előtt) Letölthető PDF formátum: http://hu.akai-atd.com/hasznalati-utasitas

Felhasználói kézikönyv ACR-3580. Rádiós ébresztőóra (Olvassa el használat előtt) Letölthető PDF formátum: http://hu.akai-atd.com/hasznalati-utasitas ACR-3580 Rádiós ébresztőóra (Olvassa el használat előtt) Letölthető PDF formátum: http://hu.akai-atd.com/hasznalati-utasitas 1 1. ON/OFF: Készülék ki/bekapcsolása vagy AM/FM mód váltás. 2. TIME: Idő PRESET:

Részletesebben

Egyszerű áramkörök vizsgálata

Egyszerű áramkörök vizsgálata A kísérlet célkitűzései: Egyszerű áramkörök összeállításának gyakorlása, a mérőműszerek helyes használatának elsajátítása. Eszközszükséglet: Elektromos áramkör készlet (kapcsolótábla, áramköri elemek)

Részletesebben

Linux Mint 8 telepítése

Linux Mint 8 telepítése Linux Mint 8 telepítése Be kell valljam ez az egyik kedvencem az Ubuntu alapú disztribúciók közül. Már több alkalommal is felpakoltam a különböző verziót és nem nagyon volt vele gondom. Illetve csak a

Részletesebben

Tanúsítvány feltöltése Gemalto TPC IM CC és ID Classic 340 típusú kártyára

Tanúsítvány feltöltése Gemalto TPC IM CC és ID Classic 340 típusú kártyára Tanúsítvány feltöltése Gemalto TPC IM CC és ID Classic 340 típusú kártyára Windows XP, Vista, Windows 7, Windows 8 és Windows 10 operációs rendszeren 1(6) 1. Tartalomjegyzék 1. Tartalomjegyzék... 2 2.

Részletesebben

OS X install gyártása USB pendrive-ra, lemezképből, működő OS X alatt!

OS X install gyártása USB pendrive-ra, lemezképből, működő OS X alatt! OS X install gyártása USB pendrive-ra, lemezképből, működő OS X alatt! 1.) Kell egy OS X eredeti lemezkép 2.) Kell egy USB pendrive (min. 8GB) 3.) A gép lehetőleg bootolható legyen az USB eszközről. Ha

Részletesebben

Bár a digitális technológia nagyon sokat fejlődött, van még olyan dolog, amit a digitális fényképezőgépek nem tudnak: minden körülmények között

Bár a digitális technológia nagyon sokat fejlődött, van még olyan dolog, amit a digitális fényképezőgépek nem tudnak: minden körülmények között Dr. Nyári Tibor Bár a digitális technológia nagyon sokat fejlődött, van még olyan dolog, amit a digitális fényképezőgépek nem tudnak: minden körülmények között tökéletes színeket visszaadni. A digitális

Részletesebben

E-ADÓ RENSZER HASZNÁLATI ÚTMUTATÓ

E-ADÓ RENSZER HASZNÁLATI ÚTMUTATÓ E-ADÓ RENSZER HASZNÁLATI ÚTMUTATÓ BEJELENTKEZÉS NÉLKÜL ELÉRHETŐ FUNKCIÓK 1. Adónaptár A bejelentkezést követően lehetőség van az eseményekről értesítést kérni! 2. Pótlékszámítás 3. Elektronikus űrlapok

Részletesebben

Microsec Zrt. által kibocsátott elektronikus aláírás telepítése Windows XP/7 (x86/x64) Mozilla Firefox 13 (32 bites) böngészőbe

Microsec Zrt. által kibocsátott elektronikus aláírás telepítése Windows XP/7 (x86/x64) Mozilla Firefox 13 (32 bites) böngészőbe Microsec Zrt. által kibocsátott elektronikus aláírás telepítése Windows XP/7 (x86/x64) Mozilla Firefox 13 (32 bites) böngészőbe A Mozilla Firefox 13 (32 bites) böngésző ingyenesen letölthető a http://www.mozilla.org/hu/firefox/fx/

Részletesebben

Adatok ábrázolása, adattípusok. Összefoglalás

Adatok ábrázolása, adattípusok. Összefoglalás Adatok ábrázolása, adattípusok Összefoglalás Adatok ábrázolása, adattípusok Számítógépes rendszerek működés: információfeldolgozás IPO: input-process-output modell információ tárolása adatok formájában

Részletesebben

Fordítóprogramok Készítette: Nagy Krisztián

Fordítóprogramok Készítette: Nagy Krisztián Fordítóprogramok Készítette: Nagy Krisztián Reguláris kifejezések (FLEX) Alapelemek kiválasztása az x karakter. tetszőleges karakter (kivéve újsor) [xyz] karakterhalmaz; vagy egy x, vagy egy y vagy egy

Részletesebben

DS409slim. Gyors telepítési útmutató

DS409slim. Gyors telepítési útmutató DS409slim Gyors telepítési útmutató Biztonsági utasítások Kérjük, hogy a termék használata előtt gondosan olvassa el ezeket a biztonsági utasításokat, és a jövőbeli felhasználás céljából tartsa ezt a kézikönyvet

Részletesebben

TELEPÍTÉSI SEGÉDLET OUTDOOR FULL POWER. OH Monitoring Kft. TNS Hoffmann Kft. 2016. február

TELEPÍTÉSI SEGÉDLET OUTDOOR FULL POWER. OH Monitoring Kft. TNS Hoffmann Kft. 2016. február TELEPÍTÉSI SEGÉDLET OUTDOOR FULL POWER OH Monitoring Kft. TNS Hoffmann Kft. 2016. február A szoftverről Üdvözöljük az Outdoor Full Power szoftver felhasználói között. 2016-tól a közterületi kampánytervezésekre

Részletesebben

Active watch MT849. Használati útmutató

Active watch MT849. Használati útmutató Active watch MT849 Használati útmutató Bevezetés Köszönjük, hogy termékünket választotta! Az eszköz kompatibilis Android 4.1 vagy magasabb verzió számú okostelefonokkal. Annak érdekében, hogy a teljes

Részletesebben

Időzített rendszerek és az UPPAAL

Időzített rendszerek és az UPPAAL Időzített rendszerek és az UPPAAL Dr. Németh L. Zoltán (zlnemeth@inf.u-szeged.hu) SZTE, Informatikai Tanszékcsoport 2008/2009 I. félév 2008.11.14 MODELL 10 1 Időzített rendszerek Real Time Systems = valós

Részletesebben

Vegyes tételek könyvelése felhasználói dokumentum Lezárva: 2015.10.27.

Vegyes tételek könyvelése felhasználói dokumentum Lezárva: 2015.10.27. Vegyes tételek könyvelése felhasználói dokumentum Lezárva: 2015.10.27. Griffsoft Informatikai Zrt. 6723 Szeged, Felső-Tisza part 31-34 M lph. fszt.2. Telefon: (62) 549-100 Telefax: (62) 401-417 TARTALOM

Részletesebben

Memóriamodulok Felhasználói útmutató

Memóriamodulok Felhasználói útmutató Memóriamodulok Felhasználói útmutató Copyright 2008 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat. A HP termékeire és szolgáltatásaira vonatkozó

Részletesebben

Szkennelt térkép vektorizálás QGIS programban 1.7.3 verzió Összeállította: dr. Siki Zoltán

Szkennelt térkép vektorizálás QGIS programban 1.7.3 verzió Összeállította: dr. Siki Zoltán Szkennelt térkép vektorizálás QGIS programban 1.7.3 verzió Összeállította: dr. Siki Zoltán Egy szkennelt ingatlan-nyilvántartási térkép részlet vektorizálását mutatjuk be. A munka a további részekre bontható:

Részletesebben

E-számla igénylése három lépéssel!

E-számla igénylése három lépéssel! E-számla igénylése három lépéssel! E-számla igénylése három lépéssel! 1 / 6 Mi az e-számla? Az e-számla elektronikus formában kibocsátott számla, amely jogi megítélése megegyezik a papír alapú számláéval.

Részletesebben

Modem és helyi hálózat Felhasználói útmutató

Modem és helyi hálózat Felhasználói útmutató Modem és helyi hálózat Felhasználói útmutató Copyright 2009 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat. A HP termékeire és szolgáltatásaira

Részletesebben

ZE-NC2011D. Beszerelési útmutató VW

ZE-NC2011D. Beszerelési útmutató VW ZE-NC2011D Beszerelési útmutató VW Csatlakoztatás előkészítése Kösse össze a fő csatlakozó kábel megfelelő csatlakozóját a CAN/Stalk interfésszel. Csatlakoztassa a fő csatlakozó kábelt, ahogy azt az ábrán

Részletesebben

MultiBoot Felhasználói útmutató

MultiBoot Felhasználói útmutató MultiBoot Felhasználói útmutató Copyright 2006, 2007 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat. A HP termékeire és szolgáltatásaira vonatkozó

Részletesebben

Programozás alapjai Bevezetés

Programozás alapjai Bevezetés Programozás alapjai Bevezetés Miskolci Egyetem Általános Informatikai Tanszék Programozás alapjai Bevezetés SWF1 / 1 Tartalom A gépi kódú programozás és hátrányai Amagasszintÿ programozási nyelv fogalma

Részletesebben

Memóriamodulok Felhasználói útmutató

Memóriamodulok Felhasználói útmutató Memóriamodulok Felhasználói útmutató Copyright 2008 Hewlett-Packard Development Company, L.P. Az itt szereplő információ előzetes értesítés nélkül változhat. A HP termékeire és szolgáltatásaira vonatkozó

Részletesebben

Biztonsági mentés és visszaállítás Felhasználói útmutató

Biztonsági mentés és visszaállítás Felhasználói útmutató Biztonsági mentés és visszaállítás Felhasználói útmutató Copyright 2009 Hewlett-Packard Development Company, L.P. A Windows elnevezés a Microsoft Corporation Amerikai Egyesült Államokban bejegyzett kereskedelmi

Részletesebben

PHANTOM PILÓTAKÉPZÉSI ÚTMUTATÓ

PHANTOM PILÓTAKÉPZÉSI ÚTMUTATÓ PHANTOM PILÓTAKÉPZÉSI ÚTMUTATÓ További információ: Vigyázat Kor FIGYELEM! a forgó alkatrészek sérülést okozhatnak Ez a termék 18 éves kor alatt nem használható. Kérem olvassa el a Gyors Kezdo Útmutatót

Részletesebben

1. forduló. MEGOLDÁSOK Pontszerző Matematikaverseny 2015/2016-os tanév

1. forduló. MEGOLDÁSOK Pontszerző Matematikaverseny 2015/2016-os tanév MEGOLDÁSOK Pontszerző Matematikaverseny 2015/2016-os tanév 1. forduló 1. feladat: Jancsi és Juliska Matematikai Memory-t játszik. A játék lényege, hogy négyzet alakú kártyákra vagy műveletsorokat írnak

Részletesebben

Programozás. A programkészítés lépései. Program = egy feladat megoldására szolgáló, a számítógép számára értelmezhető utasítássorozat.

Programozás. A programkészítés lépései. Program = egy feladat megoldására szolgáló, a számítógép számára értelmezhető utasítássorozat. Programozás Programozás # 1 Program = egy feladat megoldására szolgáló, a számítógép számára értelmezhető utasítássorozat. ADATOK A programkészítés lépései 1. A feladat meghatározása PROGRAM EREDMÉNY A

Részletesebben

Analízis elo adások. Vajda István. 2012. október 3. Neumann János Informatika Kar Óbudai Egyetem. Vajda István (Óbudai Egyetem)

Analízis elo adások. Vajda István. 2012. október 3. Neumann János Informatika Kar Óbudai Egyetem. Vajda István (Óbudai Egyetem) Vajda István Neumann János Informatika Kar Óbudai Egyetem / 40 Fogalmak A függvények értelmezése Definíció: Az (A, B ; R ) bináris relációt függvénynek nevezzük, ha bármely a A -hoz pontosan egy olyan

Részletesebben

54 481 01 1000 00 00 CAD-CAM

54 481 01 1000 00 00 CAD-CAM Az Országos Képzési Jegyzékről és az Országos Képzési Jegyzékbe történő felvétel és törlés eljárási rendjéről szóló 133/2010. (IV. 22.) Korm. rendelet alapján. Szakképesítés, szakképesítés-elágazás, rész-szakképesítés,

Részletesebben

Neptun rendszer jelentkezési segéd

Neptun rendszer jelentkezési segéd 1. Neptun rendszer Neptun rendszer jelentkezési segéd Cserháti Sándor Kollégium A böngésző címsávjába gépeld be a Neptun rendszer címét: NEPTUN.SZE.HU 2. Hallgatói web A Neptun webes oldalán jobb oldalt

Részletesebben

A szerzõrõl... xi Bevezetés... xiii

A szerzõrõl... xi Bevezetés... xiii TARTALOMJEGYZÉK A szerzõrõl...................................................... xi Bevezetés...................................................... xiii I. rész A webes parancsnyelvek és a JavaScript

Részletesebben

Tisztelt Ügyfelünk! 1) Böngészési elızmények törlése

Tisztelt Ügyfelünk! 1) Böngészési elızmények törlése Tisztelt Ügyfelünk! Az alábbiakban szeretnénk segítséget nyújtani Önnek a CIB Internet Bank zavartalan használatához szükséges böngészı beállításban, a böngészési elızmények törlésében. A böngészési elızmények

Részletesebben

Hálózati beállítások gyorsútmutatója

Hálózati beállítások gyorsútmutatója Hálózati beállítások gyorsútmutatója WorkCentre M123/M128 WorkCentre Pro 123/128 701P42078_HU 2004. Minden jog fenntartva. A szerzői jogvédelem a védett anyag és információ minden formájára és tartalmára

Részletesebben

MATEMATIKA ÉRETTSÉGI TÍPUSFELADATOK MEGOLDÁSAI KÖZÉPSZINT Trigonometria

MATEMATIKA ÉRETTSÉGI TÍPUSFELADATOK MEGOLDÁSAI KÖZÉPSZINT Trigonometria 005-05 MATEMATIKA ÉRETTSÉGI TÍPUSFELADATOK MEGOLDÁSAI KÖZÉPSZINT Trigonometria A szürkített hátterű feladatrészek nem tartoznak az érintett témakörhöz, azonban szolgálhatnak fontos információval az érintett

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

ÍRÁSBELI FELADAT MEGOLDÁSA

ÍRÁSBELI FELADAT MEGOLDÁSA 54 523 04 1000 00 00-2014 MAGYAR KERESKEDELMI ÉS IPARKAMARA Országos Szakmai Tanulmányi Verseny Elődöntő ÍRÁSBELI FELADAT MEGOLDÁSA Szakképesítés: 54 523 04 1000 00 00 SZVK rendelet száma: Modulok: 6308-11

Részletesebben

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István IGITÁLI TECHNIKA 7 Előadó: r. Oniga István zekvenciális (sorrendi) hálózatok zekvenciális hálózatok fogalma Tárolók tárolók JK tárolók T és típusú tárolók zámlálók zinkron számlálók Aszinkron számlálók

Részletesebben

http://www.olcsoweboldal.hu ingyenes tanulmány GOOGLE INSIGHTS FOR SEARCH

http://www.olcsoweboldal.hu ingyenes tanulmány GOOGLE INSIGHTS FOR SEARCH 2008. augusztus 5-én elindult a Google Insights for Search, ami betekintést nyújt a keresőt használók tömegeinek lelkivilágába, és időben-térben szemlélteti is, amit tud róluk. Az alapja a Google Trends,

Részletesebben

Webes adatbázis-kezelés MySQL és PHP használatával

Webes adatbázis-kezelés MySQL és PHP használatával Sági Gábor Webes adatbázis-kezelés MySQL és PHP használatával BBS-INFO, 2005. A könyv megírásakor a szerző és a kiadó a lehető legnagyobb gondossággal járt el. Ennek ellenére a könyvben előfordulhatnak

Részletesebben

MATLAB. 4. gyakorlat. Lineáris egyenletrendszerek, leképezések

MATLAB. 4. gyakorlat. Lineáris egyenletrendszerek, leképezések MATLAB 4. gyakorlat Lineáris egyenletrendszerek, leképezések Menetrend Kis ZH MATLAB függvények Lineáris egyenletrendszerek Lineáris leképezések Kis ZH pdf MATLAB függvények a szkriptekhez hasonlóan az

Részletesebben

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ. 2012. szeptember 18. Verzió 1.0. http://logsys.mit.bme.hu LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ 2012. szeptember 18. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Memóriák... 3 2.1 Aszinkron SRAM... 3 2.2 SPI buszos soros FLASH

Részletesebben

ÁFA felhasználói dokumentum Lezárva: 2015.11.10.

ÁFA felhasználói dokumentum Lezárva: 2015.11.10. ÁFA felhasználói dokumentum Lezárva: 2015.11.10. Griffsoft Informatikai Zrt. 6723 Szeged, Felső-Tisza part 31-34 M lph. fszt.2. Telefon: (62) 549-100 Telefax: (62) 401-417 TARTALOM 1 ÁFA... 2 1.1 HALASZTOTT

Részletesebben

Adatok név nélküli megosztása a Lenovo vállalattal. Tartalom. Harmony

Adatok név nélküli megosztása a Lenovo vállalattal. Tartalom. Harmony Adatok név nélküli megosztása a Lenovo vállalattal Tartalom Adatok név nélküli megosztása a Lenovo vállalattal... 1 Harmony... 1 Lenovo Companion 3.0... 2 Lenovo Customer Engagement Service... 3 Lenovo

Részletesebben

EPER E-KATA integráció

EPER E-KATA integráció EPER E-KATA integráció 1. Összhang a Hivatalban A hivatalban használt szoftverek összekapcsolása, integrálása révén az egyes osztályok, nyilvántartások között egyezőség jön létre. Mit is jelent az integráció?

Részletesebben