Tervezési módszerek programozható logikai eszközökkel

Hasonló dokumentumok
Tervezési módszerek programozható logikai eszközökkel

Mikrorendszerek tervezése

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális technika Xilinx ISE GUI használata

Digitális elektronika gyakorlat

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Tervezési módszerek programozható logikai eszközökkel

Nyolcbites számláló mintaprojekt

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Perifériák hozzáadása a rendszerhez

Attribútumok, constraint-ek

Témakiírások 2014/15. őszi félévben

Vivado IP alapú fejlesztő rendszer Segédlet

ISE makró (saját alkatrész) készítése

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

Bevezető az ISE rendszer használatához

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

Tervezési módszerek programozható logikai eszközökkel

1 Laboratóriumi gyakorlat

A ChipScope logikai analizátor

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

Digitális technika VIMIAA01 9. hét

Mikrorendszerek tervezése

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

Tervezési módszerek programozható logikai eszközökkel

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Foglalkozási napló a 20 /20. tanévre

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1

Elemi alkalmazások fejlesztése I.

Integrált áramkörök/6 ASIC áramkörök tervezése

FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával

Digitális rendszerek. I. rész. Dr. Turóczi Antal

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

DIGITÁLIS TECHNIKA 13. Dr. Oniga István

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Mikroelektronikai tervezés

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

A Picoblaze Core implementálása FPGA-ba

Újrakonfigurálható eszközök

Hardver leíró nyelvek (HDL)

Digitális eszközök típusai

A VHDL kódtól az FPGA-ba való ágyazásig From the VHDL Code to the Implementation to FPGA-s

MIKROELEKTRONIKA, VIEEA306

Integrált áramkörök/5 ASIC áramkörök

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technika (VIMIAA02) Laboratórium 3

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Szintézis attributumok és constraint-ek

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technikai alapáramkörök labormérése

Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció

Digitális technika (VIMIAA02) Laboratórium 2

Mikrorendszerek tervezése

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, Minden jog fenntartva

Laborsegédlet 3. Labor

Bevezető az ISE 11.2 rendszer használatához

Digitális technika (VIMIAA01) Laboratórium 2

Első lépések. File/New. A mentés helyét érdemes módosítani! Pl. Dokumentumok. Fájlnév: pl. Proba

Digitális technika (VIMIAA02) Laboratórium 4

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Rendszerszintű tervezés: SystemC I.

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót

VHDL alapismeretek. (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet. Összeállította: dr. Zigó Tamás

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

III.5 KILOPROCESSZOROSRENDSZE REK LOGISZTIKAI ALKALMAZÁSA (SZOLGAYPÉTER)

Kommunikációs rendszerek teljesítőképesség-vizsgálata

Egyszerű mikroprocesszor RTL modellek (VHDL)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Szimuláció és verifikáció. Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció. Kétfajta szimulációs módszer

Mérési jegyzőkönyv. az ötödik méréshez

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt

Digitális technika (VIMIAA02) Laboratórium 4

Autóipari beágyazott rendszerek. Komponens és rendszer integráció

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

ArcGIS for Desktop Tippek & Trükkök. Beke Dániel ESRI Magyarország Kft.

ARM Cortex magú mikrovezérlők. mbed

Mikrorendszerek tervezése

Csatlakozás a BME eduroam hálózatához Setting up the BUTE eduroam network

Átírás:

Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 3. Xilinx Vivado Design Suite integrált fejlesztő rendszer használata. Vivado XSIM szimulátor használata Frissítve: 2016. 10. 11.

2 Tárgyalt ismeretkörök 3. előadás I.) Xilinx Vivado fejlesztő környezet, II.) Xilinx Vivado Simulator (XSIM) integrált szimulátor használata.

3 Rövid általános ismertetés I. XILINX VIVADO DESIGN SUITE (2015.2)

4 Felhasznált irodalom: Xilinx Vivado Design Suite: http://www.xilinx.com/products/design-tools/vivado.html Vivado Design Suite User Guide (UG910): http://www.xilinx.com/support/documentation/sw_manuals/xilinx2012_4/ug910-vivadogetting-started.pdf Xilinx ISE In-depth tutorial(ug695): www.xilinx.com/support/documentation/sw_manuals/xilinx14_1/ise_tutorial_ug695.pdf Xilinx Vivado simple tutorial: http://www.xilinx.com/support/documentation/university/vivado-teaching/hdl- Design/2013x/Nexys4/Verilog/docs-pdf/Vivado_tutorial.pdf Xilinx Vivavo oktató videók: https://www.xilinx.com/training/vivado

Xilinx Vivado Design Suite 2015.2 Laborokon a Xilinx Vivado Design Suite 2015.2 (illetve ISE Design Suite 14.7) integrált keretrendszert használjuk! * Vivado: integrált fejlesztő környezet (IDE), amelyből más program modulok indíthatók, mint pl: IP Integrator / IP Catalog IP magok paraméterezése Source Editor: forráskód szerkesztő, stb. * Vivado Simulator (XSIM): integrált szimulátor Vivado (EDK + SDK) Beágyazott rendszer firmware + szoftver együttes fejlesztő környezete Timing Analyzer Időzítési analizátor FPGA Editor (chip layout, floorplan) * Hardware manager Bitstream (FPGA konfiguráció) letöltő program ChipScope: logikai analizátor * Következő előadásokon részletesen tárgyaljuk és labor gyakorlatokon használjuk 5

6 Vivado IP integrator / IP Catalog Paraméterezhető IP-k (Intellectual Property, azaz ún. Szellemi termékek ) adatbázisa, amelyeket kifejezette Xilinx FPGA eszközökre optimalizáltak. Különböző előre elkészített funkciók katalógusa, melyek paraméterei a Vivado GUI-n keresztül konfigurálhatóak. Ezekből szimulálható, illetve szintetizálható, ált. titkosított (encrypted) forrásokat, HDL leírókat generálhatunk, melyek beépíthetők a FW tervekbe. A generálható IP-k rendkívül sokoldalúak, komplexitásuk az egyszerű FIFO-tól egészen a memória vezérlőkig, szűrőkig terjedhet. Van közöttük: Legtöbb szabadon elérhető (freely available), mások Licensz kötelesek (licensed), de időkorláttal kipróbálhatóak* Low-level- alap blokkok/ funkciók: Komparátorok, számlálók, Shift Regiszterek, Ált. Regiszterek, Akkumulátorok FIFOs,(soft/hard-core) memória vezérlők High-level- rendszer szintű funkciók: Reed-Solomon Dekódoló Kódoló, FIR szűrő, FFT(DSP alkalmazásokhoz) Szabványos busz interfészek (PCI, PCI-X ) Hálózati interfészek, összeköttetések (Ethernet, SPI-4.2, PCI ) PCI EXPRESS mikroprocesszor interfészek

7 Xilinx Vivado: Egyszerű példa Digilent ZyBo FPGA-s kártyán található LED-ek, illetve kapcsolók összekötése (egyelőre anélkül, hogy a VHDL nyelvi elemeit részletesen tárgyalnánk) Feladat: 1 kapcsoló (sw) összekötése 1 LED kijelzővel (led) Főbb lépések a következők: 1.) Projekt létrehozása (Create New project). 2.) HDL fileok létrehozása (újat), vagy hozzáadása (már meglévőt). 3. HDL nyelvi szintaxis ellenőrzése (Check HDL syntax). Xilinx Vivado keretrendszer indítása: Programok Xilinx Design Tools Vivado 2015.2

Vivado Kezdő képernyő 8

1.a.) Projekt létrehozása Egy Vivado projekt alapvető információkat tartalmaz a forrás fileokról (pl. HDL, XDC), illetve a használt FPGA eszközről (target device) a.) Vivado File menü New Project (A New Project Wizard) majd pedig Next gomb New project ablaka jelenik meg. Adjuk meg a projekt nevét ( sw2led ), és elérési útját. - Figyeljünk arra hogy az elérési út NE tartalmazzon ékezetet és white-space karaktereket! - A projekt neve, és majd a HDL forrás neve NE kezdődjön számmal, csak betűvel (de lehet bennük szám). - Lehetőség szerint a projekt neve és a forrás(ok) neve legyen eltérő, a későbbi hibaüzenetekben szereplő azonosítás végett. Ellenőrizze, hogy a Top-level típus, azaz a legfelső hierarchia szinten lévő forrás típusa a HDL (lehet még EDIF/Schematic/NGC/NGO formátumot is hozzárendelni a projekthez). 9

10 1.a.) Projekt létrehozása 1 2

11 1.a.) Projekt létrehozása (folyt) 1 2

12 1.b.) Projekt beállításai 1 2

13 1.b.) Projekt beállításai (folyt) 1

14 1.b.) Projekt beállításai (folyt) 1

15 1.b.) Projekt beállításai FPGA típusa 1 2 3 4

16 1.c.) Összegzés! 1

Vivado GUI 17

18 2. Tervezői file (HDL) létrehozása Itt kell hozzáadni a meglévő, vagy létrehozni egy HDL forrás filet. a.) File menü + Add Sources. Add or create design sources - Hozzá kell adni egy új forrásfilet. Itt a VHDL -t kell kiválasztani. A file neve legyen sw2led_top.vhd. OK, majd Finish. b.) A következő ablakban a port neveket lehet megadni, típusaikkal, illetve busz szélességükkel. + a hozzáadás: Port Type/Name/Bus: Input sw nem busz típus Port Type/Name/Bus: Output led nem busz típus Architektúra neve maradhat Behavioral(viselkedési). OK. Az Vivado IDE automatikusan generálja le a VHDL forrásfile tartalmát, amely a headert, library neveket, entitás deklarációkat és egy üres architektúra vázat tartalmaz még csak. c.) Alapértelmezettként, az Vivado a következő libraryhozzárendeléseket generálja le: library IEEE; use IEEE.STD_LOGIC_1164.ALL; A fenti könyvtár IEEE szabvány, de lehet helyette még alkalmazni a IEEE.STD-LOGIC- ARITH.ALL, vagy a IEEE.STD-LOGIC-UNSIGNED.ALL könyvtárakat is. d.) a szöveges editor használható a HDL forráskód szerkesztéséhez, e.) Ha szükséges ezek a lépések megismételhetőek, amikor több forrásfilet is hozzá kell adni a projekthez. De ezt akár egy lépésben is meg lehet tenni.

19 2.a.) HDL szimulációs modul létrehozása File menü -> Add Sources > Add or Create Design Sources

20 2.a.) HDL modul létrehozása (folyt) File menü -> Add Sources > Add or Create Design Sources 1 2

21 2.b.) Portok megadása 3

22 library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values -- use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells/primitives in this code. -- library UNISIM; -- use UNISIM.VComponents.all; entity sw2led_top is Port ( sw : in STD_LOGIC ; led : out STD_LOGIC ); end sw2led_top; architecture Behavioral of sw2led_top is begin end Behavioral; 2.c.)-e.) Generált HDL forrásfile Ide kerülnek a felhasználói kódrészletek

3.) Szintaxis ellenőrzés Végül a HDL forrás(ok) szerkesztése után a pl. Reload design vagy a Szintézis futtatásával lehet a nyelvi szintaxis ellenőrzést is elvégeztetni. a.) A kívánt HDL forrás kiválasztása a Sources ablakban ([+] megjeleníthetők az egyes hierarchia szintek. b.) Majd alatta a Project Manager ablakban, Run Synthesis, c.) Double-click a Check Syntax process-en. A Messages / TCL console / Log ablakok is megjelenítik az ellenőrzés eredményét (riportolják az esetleges hibákat, és figyelmeztetéseket stb.) Hiba/leáll a futtatás = vörös X, Figyelmeztetés/továbblép = sárga! Mindaddig amíg hiba van a forrásfile-ban (warning megengedhető), el kell végezni a korrekciót és a Szintaxis ellenőrzést. a.)próbaképpen:abeginés endközöttirészreadjukmegelőször: sw <= led; output-ot, tehát a portok irányultságához képest ellentétesen van hajtva. b.)majdpróbáljukki: led <= sw; // Ekkor OK. //Ekkor hibát kapunk mivel az inputhoz rendeltük az 23

24 3.a.) Szintaxis hiba 1!!

25 3. a.) Hibák szűrése (Message ablak) folyt. 2! 1

26 3.b.) Szintézis futtatása 1

27 3. c.) Schematic kapcsolási rajz Szintézis futtatása után 2 1

28 4.) Felhasználói megkötések 1

29 4. Felhasználói megkötések (constraints folyt.) 1

30 4. a. Xilinx Constraint file (XDC) létrehozása 1 2 3

31 4. b.) Lábak hozzárendelése 1 2

32 5. Implementáció futtatása 1

33 5. Implementáció (folyt.) Futtatás végén rákérdez: az implementált (MAP, PLACE & ROUTE) megnyitására, Bitstream generálásra, Fordítási riportok megtekintésére.

5.a.) Fordítási riportok 34

5.b.) Implementált -Floorplan design megnyitása 2 3 1 Elérhető még a Layout menü -> Floorplanning opció alól is! 35

5.c.) I/O Planning Elérhető a Layout menü -> I/O planning opció alól! 36

37 6. Bitstream generálás 1

38 Bitstream generálás végén két lehetőség: Fordítási riport megtekintése, Hardver Manager megnyitása (felprogramozáshoz). Vagy Open Hardware Manager

7.a.) Xilinx Vivado Hardware Manager Programok -> Xilinx Design Tools -> Vivado 2015.2 Flow -> Hardware Manager (Auto Connect) a JTAG lánc két eleme (kártyánként változhat!) ARM_DAP: Debug Access Port FPGA (pontosabban APSoC): xc7z010 = Xilinx Zynq 7010. 2 1 39

40 7.b.) FPGA programozása 1 2 3

41 Bemutatás egyszerű példán keresztül II. XILINX VIVADO XSIM INTEGRÁLT SZIMULÁTOR

42 Felhasznált irodalom: Xilinx Vivado Design Suite IN depth tutorial (UG937) http://www.xilinx.com/support/documentation/sw_manuals/xilinx2015_2/ug937-vivado-design-suite-simulation-tutorial.pdf Xilinx Vivado User Guide Logic simulation (UG990) http://www.xilinx.com/support/documentation/sw_manuals/xilinx2015_2/ug900-vivado-logic-simulation.pdf Vivado Design Suite User Guide: Using the Vivado IDE (UG893) http://www.xilinx.com/support/documentation/sw_manuals/xilinx2015_2/ug893-vivado-ide.pdf

Xilinx Vivado + XSim Xilinx VivadoDesign Suite (2015.2) Xilinx Vivado XSim integrált HDL szimulátor Ez egy integrált modul ahelyett, hogy a kereskedelmi forgalomban kapható (de drága), piacvezető professzionális Mentor ModelSim szimulátort használjuk, Létezik néhány ingyenes HDL szimulációs eszköz is, pl. Icarus Verilog, Verilator, GHDL, etc. On-line szimulátorok: http://www.edaplayground.com http://iverilog.com/ 43

1.a.) Új Vivado project létrehozása File menü -> New Project Projekt neve: myand2 Elérési út megadása. Majd Next. 1 2 44

45 1.b.) Projekt létrehozása (folyt) 1 2

46 1.c.) Projekt beállításai 1 2

47 1.d.) Projekt beállításai FPGA típusa 1 2 3 4

48 2.a.) HDL modul létrehozása File menü -> Add Sources > Add or Create Design Sources 1 2

49 2.b.) Portok megadása Legyen két bemenete: (a,b) input irányultságú Legyen egy kimenete: (c) output irányultságú 3

3.) VHDL forráskód megírása CTRL+S: VHDL forrásfile mentése (*) Open Elaborated design -> Schematic. 50

51 TestBench: VHDL szimuláció Testbench / TestPad *DUT = UUT (Design / Unit under Test) Design Under Test Stimulus myand2g.vhd myand2g_tb.vhd Inputs Outputs

52 4.a.) HDL szimulációs modul létrehozása File menü -> Add Sources > Add or Create Simulation Sources

53 4.b.) HDL szimulációs modul létrehozása File menü -> Add Sources > Add or Create Simulation Sources 1 2

54 4.b.) HDL szimulációs modul (folyt) Fontos változás: Vivadoban (az ISE-hez képest) az automatikus test-bench sablon generálására csak a megfelelő.tcl scriptek futtatásával van lehetőség.

4.c.) Testbench modul megírása kézzel ARCHITECTURE behavior OF myand2_tb IS BEGIN -- Component Declaration for the Unit Under Test (UUT) COMPONENT myand2g PORT( a : IN b : IN c : OUT ); END COMPONENT; std_logic; std_logic; std_logic --Inputs signal a : std_logic := '0'; signal b : std_logic := '0'; --Outputs signal c : std_logic; -- No clocks detected in port list. Replace <clock> below with appropriate port name -- Instantiate the Unit Under Test (UUT) uut: myand2g PORT MAP ( a => a, b => b, c => c ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; -- insert stimulus here a <= '1'; wait for 10 ns; b <= '1'; wait for 5 ns; a <= '0'; wait for 20 ns; b <= '0'; wait for 10 ns; wait; end process; END; 55

56 4.d.) Testbench: tesztkörnyezet összeállítása 1 2 3

4.e.) XSim szimulátor beállításai Fontos: testbench kiválasztása Flow Navigator panel [-] Simulation 1 Simulation settings 1! 57

4.f.) XSim - Simulation Properties beállítások Simulation Settings: Simulation 1 Simulation Runtime 200 ns 2

5.) XSim szimulátor indítása 1.) Sources ablak myand2_tb kiválasztása 2.) Flow Navigatir panel -> Simulation Run Simulation Run behavioral simulation Futtatáskor a WaveForm ablak jelenik meg, melyen a gerjesztéseknek (stimulus) megfelelő kimeneti jelek vizsgálhatóak. 2 1 59

5.a.) XSim grafikus felülete: WaveForm ablak 60

5.b.) View RTL Schematic 61

62 6.) Felhasználói megkötések 1

63 6.a.) Lábak hozzárendelése 1 2

64 6.b.) Lábak hozzárendelése: myand2g.xdc Master XDC fileok megnyitása (ZyBo platformra): https://github.com/digilent/zybo/blob/master/resources/xdc/zybo_master.xdc #LEDs és #Switches szekciók átmásolása a myand2g.xdc fájlba: ##Switches set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { a }]; #IO_L19N_T3_VREF_35 Sch=SW0 set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { b }]; #IO_L24P_T3_34 Sch=SW1 ##LEDs set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { c }]; #IO_L23P_T3_35 Sch=LED0 sw<0> átnevezése b, sw<1> a. led<0> átnevezése c, Végül: myand2g.xdc mentése.

65 7.) Implementáció futtatása 1

7.a.) Implement Design Project Summary ablak Placeand Route(PAR) Reportellenőrzése a Console-ban: LOCed IBUFs/IOBs. Design Summary Report: Number of External IOBs 3 out of 232 1% Number of External Input IOBs 2 Number of External Input IBUFs 2 Number of External Output IOBs 1 Number of External Output IOBs 1 Number of External Bidir IOBs 0 Number of Slices 1 out of 4656 1% Number of SLICEMs 0 out of 2328 0% 66

67 Példák TOVÁBBI FELADATOK

Feladat 1.): Teljes Design Flow Switch/Button - Led feladat implementálása FPGA-n SW(3:0) összekapcsolása LED(3:0)-el, vagy BTN(3:0) összekapcsolása LED(3:0)-el. Elaborate -> Synthesis ->Implementation ->Generate bitstream lépések végrehajtása, VHDL Testbench készítése ( sw4toled4_tb néven) és a.vhd forrásfile viselkedési szimulációja Vivado XSim segítségével,.bit (bitstream)letöltése a Zynq7010 APSoC-ra (ZyBo kártya) az Vivado Hardware Manager segítségével. Ellenőrzés. 68

69 Feladat 2.) A lenti képen látható kombinációs logikai hálózat megtervezése és implementálása VHDL-ben ( multi_gates.vhd néven), amely a következő kapukat és összeköttetéseket tartalmazza: SW(1:0) port -> a és b bemenetekre LED(5:0) port -> az egyes <xyz>_gate(s) kezelésére Elaborate -> Synthesis-> Implementation-> Generate bitstream VHDL Testbenchkészítése ( multi_gates_tb.vhd néven) és a.vhd forrásfile viselkedési szimulációjavivadoxsim segítségével.bit (bitstream) letöltése a Zynq-7010 APSoC-re(ZyBo kártya) a Vivado HW Manager segítségével. Ellenőrzés.

70 Feladat 2.) HDL kód megoldása library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity multi_gates is port( a : in STD_LOGIC; b : in STD_LOGIC; and_gate : out STD_LOGIC; nand_gate : out STD_LOGIC; or_gate : out STD_LOGIC; nor_gate : out STD_LOGIC; xor_gate : out STD_LOGIC; ); end multi_gates; xnor_gate : out STD_LOGIC architecture Behavioural of multi_gates is begin and_gate <= a and b; nand_gate <= a nand b; or_gate <= a or b; nor_gate <= a nor b; xor_gate <= a xor b; xnor_gate <= a xnor b; end Behavioural;