Mikrorendszerek tervezése
|
|
- Piroska Bakosné
- 6 évvel ezelőtt
- Látták:
Átírás
1 BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Saját IP készítése, periféria illesztés Fehér Béla Raikovich Tamás
2 Vivado IP Repository beállítások IP Repository: az IP-ket és az interfészeket tárolja Gyári IP-k és interfészek helye: [telepítési könyvtár]\vivado\[verzió]\data\ip Projekt IP tárhely beállítások Flow Navigator panel: Project Manager Settings Saját IP tárhely megadása Alapértelmezett IP tárhely (új projekthez) AXI4-Lite interfész IP (AXI GPIO) GPIO interfész Interfész portjai 1
3 Vivado IP Repository beállítások Projekt IP tárhely beállítások IP tárhely elérési utak IP Packager beállítások Alapértelmezett IP beállítások (új projekthez) Az automatikus IP hozzáadás törölhető, a Vivado az IP tárhely alkönyvtáraiban is keres 2
4 Periféria illesztési feladat A periféria típusa alapján az igények felmérése Regiszterek száma és elérése (írható, olvasható) Parancs regiszter, státusz regiszter Üzemmód regiszter, adatregiszter Megszakítás engedélyező és flag regiszterek, stb. Esetleg FIFO vagy kisebb memória blokk Bonyolultabb perifériák esetén Burst képes slave interfész Burst képes master interfész Ezekkel itt nem foglalkozunk 3
5 Periféria illesztési feladat A címtartomány használatának megtervezése Általában 2 N bájt méretű címtartomány Regiszterek és memória blokkok elhelyezése Példa: 4 bites bemeneti periféria megszakítással Három 32 bites regiszter 16 bájtos címtartomány Adatregiszter BÁZIS+0x00 Megszakítás eng. reg. BÁZIS+0x04 Megszakítás flag reg. BÁZIS+0x bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit IN3 IN2 IN1 IN0 R R R R R R R R 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit IE3 IE2 IE1 IE0 R R R R R/W R/W R/W R/W 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit IF3 IF2 IF1 IF0 R R R R R/W1C R/W1C R/W1C R/W1C 4
6 Periféria illesztési feladat Példa: periféria egyedi busz interfész megvalósítással A Vivado nem ilyen AXI busz interfészt generál AXI Interconnect AXI WR addr. AXI WR data Írási állapotgép wr_addr wr_en byte_en wr_data Funkció AXI WR resp. wr_ack AXI RD addr. AXI RD data Olvasási állapotgép rd_addr rd_en rd_data rd_ack Busz interfész 5 Periféria
7 Periféria illesztési feladat Busz protokolltól független írási interfész: 2 N bájtos címtartomány, 2 M bájtos memória (M N) AXI4-Lite busz interfész 32 bites adatok wr_addr[n-1:2]: írási cím (wr_addr[1:0] nem használt) wr_en: írási adatátvitel jelzése Egyedi írás engedélyező jelek előállítása Regiszter: reg_wr[i] = wr_en & (wr_addr[n-1:2]==(address1 >> 2)) & Memória: mem_wr[j] = wr_en & (wr_addr[n-1:m]==(address2 >> M)) byte_en[3:0]: bájt engedélyező jelek Vizsgálandó a 8, 16 vagy 32 bites regiszter írás eldöntéséhez A memória rendelkezik bájt engedélyező bemenettel wr_data[31:0]: írási adat wr_ack: írás nyugtázása (opcionális várakozás) 6
8 Periféria illesztési feladat Busz protokolltól független olvasási interfész: 2 N bájtos címtartomány, 2 M bájtos memória (M N) AXI4-Lite busz interfész 32 bites adatok rd_addr[n-1:2]: olvasási cím (rd_addr[1:0] nem használt) rd_en: olvasási adatátvitel jelzése Egyedi olvasás engedélyező jelek előállítása Regiszter: reg_rd[i] = rd_en & (rd_addr[n-1:2] == (ADDRESS1 >> 2)) Memória: mem_rd[j] = rd_en & (rd_addr[n-1:m] == (ADDRESS2 >> M)) A kimeneti multiplexer vezérléséhez Kell még, ha az olvasás állapotváltozást okoz (pl. FIFO, bit törlés, stb.) rd_data[31:0]: olvasási adat rd_ack: olvasás nyugtázása (opcionális várakozás) 7
9 Saját periféria készítése Specifikáció Általános célú I/O (GPIO) periféria Paraméterrel megadható port méret (1 32 bit) Bemenet változása esetén megszakításkérés Regiszterkészlet, programozói interfész Kimeneti adatregiszter: BÁZIS+0x00, 32 bit, R/W A (kimenetbe kapcsolt) port biteken megjelenő érték 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit OUT31 OUT30 OUT4 OUT3 OUT2 OUT1 OUT0 R/W R/W R/W R/W R/W R/W R/W Bemeneti adatregiszter: BÁZIS+0x04, 32 bit, RD A GPIO port aktuális állapotát tartalmazza 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit IN31 IN30 IN4 IN3 IN2 IN1 IN0 R R R R R R R 8
10 Saját periféria készítése Regiszterkészlet, programozói interfész Irányregiszter: BÁZIS+0x08, 32 bit, R/W A port bitek irányát adja meg (0: bemenet, 1: kimenet) 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit DIR31 DIR30 DIR4 DIR3 DIR2 DIR1 DIR0 R/W R/W R/W R/W R/W R/W R/W Megszakítás eng. regiszter: BÁZIS+0x0C, 32 bit, R/W Megszakításkérés engedélyezése a bemeneti port bitekre 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit IE31 IE30 IE4 IE3 IE2 IE1 IE0 R/W R/W R/W R/W R/W R/W R/W Megszakítás flag regiszter: BÁZIS+0x10, 32 bit, R/W A bemeneti port bitek változását jelzi, 1 beírásával törölhető A jelzés független a megszakítás engedélyezettségétől 31. bit 30. bit 4. bit 3. bit 2. bit 1. bit 0. bit IF31 IF30 IF4 IF3 IF2 IF1 IF0 R/W1C R/W1C R/W1C R/W1C R/W1C R/W1C R/W1C 9
11 Saját periféria készítése Új AXI4 periféria létrehozása Tools Create and Package New IP 10
12 Saját periféria készítése A periféria adatainak megadása IP neve: my_gpio, verziója: 1.0 Az IP könyvtára: [IP location]\[name]_[version] IP neve IP verzió Az IP katalógusban megjelenő név IP helye (projekt IP tárhely) Leírás 11
13 Saját periféria készítése AXI interfész hozzáadása: AXI4-Lite slave, 5 regiszter Belső megszakítás vezérlő saját AXI interfésszel AXI interfészek hozzáadása és törlése AXI interfész típus (Lite, Full, Stream) AXI interfész neve Interfész mód (Master, Slave) Adatbusz méret Regiszterek száma (csak AXI4-Lite) Memória mérete (csak AXI4) 12
14 Saját periféria készítése Az IP-hez tartozó könyvtár tartalma component.xml fájl: IP-XACT leírás bd könyvtár: blokk diagram TCL szkript drivers könyvtár SDK és szoftver forrásfájlok (C kód) Egyszerű regiszter és memória elérés Egyszerű önteszt example_designs könyvtár: példák Nem minden típusú perifériához jön létre hdl könyvtár: HDL forrásfájlok xgui könyvtár: konfigurációs GUI TCL szkript 13
15 Saját periféria készítése Az új periféria bekerül az IP katalógusba A periféria szerkesztése, módosítása Jobb klikk az IP-n Edit in IP Packager Új projekt jön létre a szerkesztéshez és megnyitásra kerül egy új Vivado ablakban 14
16 Saját periféria készítése A generált forrásfájlok (a név függ a megadott adatoktól) my_gpio_v1_0.v: top-level modul my_gpio_v1_0_s_axi.v: AXI4-Lite slave interfész Az AXI interfész modul módosítása Paraméterek és portok hozzáadása, szükségtelen jelek törlése // Users to add parameters here // A GPIO port bitszáma. parameter C_GPIO_WIDTH = 32, // User parameters ends // Users to add ports here output reg [C_GPIO_WIDTH-1:0] gpio_dout, input wire [C_GPIO_WIDTH-1:0] gpio_din, output reg [C_GPIO_WIDTH-1:0] gpio_dir, output reg [C_GPIO_WIDTH-1:0] int_enable, output reg [C_GPIO_WIDTH-1:0] int_flag, input wire [C_GPIO_WIDTH-1:0] int_flag_set, // User ports ends 15 reg [ ] slv_reg0; reg [ ] slv_reg1; reg [ ] slv_reg2; reg [ ] slv_reg3; reg [ ] slv_reg4; integer byte_index;
17 Saját periféria készítése Az AXI interfész modul módosítása Írható regiszterek, csak 32 bites írás lehetséges Az eredeti regiszter implementáció helyére kerülnek assign slv_reg_wren = ; wire [2:0] reg_sel = axi_awaddr[addr_lsb+opt_mem_addr_bits:addr_lsb]; wire dword_wr = (S_AXI_WSTRB == 4'b1111); integer i; S_AXI_ACLK) //Kimeneti adatregiszter. if (S_AXI_ARESETN == 1'b0) gpio_dout <= {C_GPIO_WIDTH{1'b0}}; else if (slv_reg_wren && (reg_sel == 3'd0) && dword_wr) gpio_dout <= S_AXI_WDATA[C_GPIO_WIDTH-1:0]; S_AXI_ACLK) //Irányregiszter. if (S_AXI_ARESETN == 1'b0) gpio_dir <= {C_GPIO_WIDTH{1'b0}}; else if (slv_reg_wren && (reg_sel == 3'd2) && dword_wr) gpio_dir <= S_AXI_WDATA[C_GPIO_WIDTH-1:0]; 16
18 Saját periféria készítése Az AXI interfész modul módosítása Írható regiszterek, csak 32 bites írás lehetséges Megszakítás flag regiszter: bemenet változása beállítja a jelzést, 1 beírása pedig törli S_AXI_ACLK) //Megszakítás engedélyező regiszter. if (S_AXI_ARESETN == 1'b0) int_enable <= {C_GPIO_WIDTH{1'b0}}; else if (slv_reg_wren && (reg_sel == 3'd3) && dword_wr) int_enable <= S_AXI_WDATA[C_GPIO_WIDTH-1:0]; S_AXI_ACLK) //Megszakítás flag regiszter. for (i = 0; i < C_GPIO_WIDTH; i = i + 1) if (S_AXI_ARESETN == 1'b0) int_flag[i] <= 1'b0; //Reset törli a jelzést. else if (int_flag_set[i]) int_flag[i] <= 1'b1; //Változás esetén a jelzés beállítása. else if (slv_reg_wren && (reg_sel == 3'd4) && dword_wr && S_AXI_WDATA[i]) int_flag[i] <= 1'b0; //1 beírása törli a jelzést. 17
19 Saját periféria készítése Az AXI interfész modul módosítása A regiszter olvasás megvalósítása begin // Address decoding for reading registers case ( axi_araddr[addr_lsb+opt_mem_addr_bits:addr_lsb] ) 3'h0 : reg_data_out <= slv_reg0; reg_data_out <= gpio_dout; 3'h1 : reg_data_out <= slv_reg1; reg_data_out <= gpio_din; 3'h2 : reg_data_out <= slv_reg2; reg_data_out <= gpio_dir; 3'h3 : reg_data_out <= slv_reg3; reg_data_out <= int_enable; 3'h4 : reg_data_out <= slv_reg4; reg_data_out <= int_flag; default: reg_data_out <= 0; endcase end A top-level modul módosítása Saját paraméterek hozzáadása // Users to add parameters here parameter C_GPIO_WIDTH = 32, // User parameters ends 18
20 Saját periféria készítése A top-level modul módosítása Saját portok hozzáadása // Users to add ports here input wire [C_GPIO_WIDTH-1:0] gpio_i, output wire [C_GPIO_WIDTH-1:0] gpio_o, output wire [C_GPIO_WIDTH-1:0] gpio_t, output reg irq, // User ports ends Háromállapotú kimenet vagy I/O vonal megadása Kimenet (_O), bemenet (_I), kimenet engedélyezés (_T) A _T jel aktív alacsony Az IP és az I/O buffer portok összerendelése a név alapján történik 19
21 Saját periféria készítése A top-level modul módosítása Az AXI interfész modul új portjainak bekötése wire [C_GPIO_WIDTH-1:0] gpio_din, gpio_dir, int_enable; wire [C_GPIO_WIDTH-1:0] int_flag, int_flag_set; // Instantiation of Axi Bus Interface S_AXI my_gpio_v1_0_s_axi # (.C_GPIO_WIDTH(C_GPIO_WIDTH),.C_S_AXI_DATA_WIDTH(C_S_AXI_DATA_WIDTH),.C_S_AXI_ADDR_WIDTH(C_S_AXI_ADDR_WIDTH) ) my_gpio_v1_0_s_axi_inst (.gpio_dout(gpio_o),.gpio_din(gpio_din),.gpio_dir(gpio_dir),.int_enable(int_enable),.int_flag(int_flag),.int_flag_set(int_flag_set),.s_axi_aclk(s_axi_aclk), ); 20
22 Saját periféria készítése A top-level modul módosítása Mintavételezés, változás detektálás, megszakításkérés //Add user logic here //A bemenetek mintavételezése és szinkronizálása az órajelhez. reg [C_GPIO_WIDTH-1:0] din_reg1, din_reg2, din_reg3; s_axi_aclk) if (s_axi_aresetn == 1'b0) {din_reg3, din_reg2, din_reg1} <= {3*C_GPIO_WIDTH{1'b0}} else {din_reg3, din_reg2, din_reg1} <= {din_reg2, din_reg1, gpio_i}; assign gpio_t = ~gpio_dir; assign gpio_din = din_reg2; assign int_flag_set = (din_reg2 ^ din_reg3) & ~gpio_dir; //A megszakításkérő kimenet meghajtása (aktív magas). s_axi_aclk) if (s_axi_aresetn == 1'b0) irq <= 1'b0; else irq <= (int_enable & int_flag); 21
23 Saját periféria készítése A generált AXI4-Lite slave interfész modul egyszerű írható és olvasható regiszterek megvalósításához jól használható Bonyolultabb regiszterek (például megszakítás flag regiszter) és memória blokk illesztéséhez nem elég rugalmas, több módosítást igényel Ha erre van szükségünk, akkor érdemes lehet saját AXI interfészt készíteni a korábbi példák alapján A cím vonalak bitszáma a megfelelő paraméterrel (a példában C_S_AXI_ADDR_WIDTH) beállítható az igények szerint 22
24 IP Packager Az IP-hez tartozó IP-XACT leírás szerkeszthető vele Az IP-XACT egy IEEE ipari szabvány XML formátum az IP-k metaadatokkal történő leírásához Portok, interfészek Konfigurálható paraméterek Fájlok, dokumentációk Magasszintű leírás, tehát nem helyettesíti a HDL-t Lehetővé teszi Az automatikus összeköttetéseket, konfigurációt Mástól származó IP integrálását Saját IP exportálását 23
25 IP Packager 24
26 IP Packager Elemzi a HDL forrásfájlokat, így egyes adatok és beállítások automatikusan kitöltésre kerülnek Identification: az IP-hez tartozó azonosító adatok Compatibility: FPGA-k és HDL szimulátorok megadása, melyekkel az IP használható File Groups: az IP-hez tartozó fájlok HDL forrás, szoftver meghajtó, dokumentáció, stb. Customization Parameters: módosítható, beállítható IP paraméterek (HDL) tulajdonságai GUI megjelenés, típus, értékkészlet A példában a C_GPIO_WIDTH: GUI-ban jelenjen meg, long típusú, minimális értéke 1, maximális értéke 32 25
27 IP Packager Ports and Interfaces: IP port és interfész beállítások Lehetőleg használjuk a már meglévő interfészeket Saját interfész: Tools Create Interface Definition A példában az irq port automatikusan hozzárendelődik egy irq interfészhez (aktív magas SENSITIVITY=LEVEL_HIGH) A példában a gpio_i, gpio_o és gpio_t portokat rendeljük egy gpio interfészhez Addressing and Memory: memória címtartomány adatok Customization GUI: az IP konfigurálásához tartozó grafikus felület szerkesztése A példában a C_GPIO_WIDTH paraméter legyen látható, húzzuk át a Page 0 csomópont alá Review and Package: összefoglalás, IP leírás generálása Vivado UG Creating and Packaging Custom IP (UG1118) 26
28 Az elkészült GPIO IP A GPIO interfész és a hozzá tartozó gpio_o, gpio_t és gpio_i portok AXI4-Lite interfész Megszakításkérő kimenet AXI busz paraméterek Címtartomány paraméterek AXI órajel és reset GPIO port bitszáma Értékkészlet 27
29 IP tesztelése Szimuláció (BFM) Bus Functional Model (BFM): nem szintetizálható, csak a szimulátorral használható modellje a busznak Taszkokat biztosít a busz tranzakciók kezeléséhez Ellenőrzi a busz protokoll betartását A BFM szimulációs környezetet generáló TCL szkript: [IP_könyvtára]\example_designs\bfm_design\design.tcl Az AXI Verification IP-re épül (részletek: PG267) SystemVerilog teszt környezet Saját, egyszerűbb BFM készítése 28
30 IP tesztelése Szimuláció (BFM) Példa: egyszerű AXI4-Lite 32 bites írási taszk task axi_write32; input [31:0] address; input [31:0] data; begin address = address & s_axi_aclk); $display("%t: AXI write - address=0x%h, data=0x%h", $time, address, data); fork //Írási cím csatorna. begin #1 s_axi_awaddr = address; s_axi_awprot = 3'b000; s_axi_awvalid = 1'b1; wait (s_axi_awready == s_axi_aclk); #1 s_axi_awvalid = 1'b0; end //Írási adat csatorna. begin #1 s_axi_wdata = data; s_axi_wstrb = 4'b1111; s_axi_wvalid = 1'b1; wait (s_axi_wready == s_axi_aclk); #1 s_axi_wvalid = 1'b0; end //Írási válasz csatorna. begin #1 s_axi_bready = 1'b1; wait (s_axi_bvalid == 1'b1); $display("%t: AXI write resp=0x%h", $time, s_axi_aclk); #1 s_axi_bready = 1'b0; end join end endtask 29
31 IP tesztelése Szimuláció (BFM) Irányregiszter írás: axi_write32(32'h8, 32'hFFFFFFFF); Kimeneti adatregiszter írás: axi_write32(32'h0, 32'hDEADBEEF); 30
32 IP tesztelése HW (JTAG) A hardveres teszt rendszert generáló TCL szkript: [IP_könyvtára]\example_designs\debug_hw_design\design.tcl A JTAG to AXI Master IP-t használja (részletek: PG174) FPGA konfigurációs fájl generálása szükséges Csak TCL parancsokkal vezérelhető Példa: irányregiszter 0xFF, kimeneti adatregiszter 0xAA create_hw_axi_txn dir_reg_wr [get_hw_axis hw_axi_1] -type WRITE address 44a len 1 -data ff create_hw_axi_txn dout_reg_wr [get_hw_axis hw_axi_1] -type WRITE -address 44a len 1 -data aa run_hw_axi [get_hw_axi_txns dir_reg_wr] run_hw_axi [get_hw_axi_txns dout_reg_wr] 31
33 IP készítése egyéb forrásból Az eddigiekhez hasonlóan IP készíthető még A jelenleg megnyitott projekt forrásainak felhasználásával A projektben lévő Block Design-okból Adott könyvtár tartalmának felhasználásával Package as a library core opció: az IP a katalógusban nem lesz látható Jelenleg megnyitott projekt becsomagolása Block Design becsomagolása Könyvtár tartalmának becsomagolása 32
Perifériák hozzáadása a rendszerhez
Perifériák hozzáadása a rendszerhez Intellectual Property (IP) katalógus: Az elérhető IP modulok listája Bal oldalon az IP Catalog fül Ingyenes IP modulok Fizetős IP modulok: korlátozások Időkorlátosan
Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István
Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Megszakítás- és kivételkezelés Fehér Béla Raikovich
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Vivado fejlesztői környezet Fehér Béla Raikovich
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Debug lehetőségek Fehér Béla Raikovich Tamás
Rendszerarchitektúrák labor Xilinx EDK
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT Labor tematika
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése AXI interfész Fehér Béla Raikovich Tamás Advanced
A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához
A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design
Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges
Digitális technika VIMIAA01 9. hét
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT Eddig Tetszőleges
Mérési jegyzőkönyv. az ötödik méréshez
Mérési jegyzőkönyv az ötödik méréshez A mérés időpontja: 2007-10-30 A mérést végezték: Nyíri Gábor kdu012 mérőcsoport A mérést vezető oktató neve: Szántó Péter A jegyzőkönyvet tartalmazó fájl neve: ikdu0125.doc
Digitális technika (VIMIAA02) Laboratórium 5
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,
Digitális technika (VIMIAA02) Laboratórium 5
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5 Fehér Béla Raikovich Tamás,
A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium
BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS GUI Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT atórium
Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.
Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem A Verilog HDL II. Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. szeptember 26. Nagy
Raikovich Tamás BME MIT
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT 1. labor:
Digitális technika VIMIAA hét
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA02 11. hét Fehér Béla BME MIT MiniRISC mintarendszer
Digitális technika (VIMIAA02) Laboratórium 4
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,
Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István
Kombinációs áramkörök modelezése Laborgyakorlat Dr. Oniga István Funkcionális kombinációs egységek A következő funkcionális egységek logikai felépítésével, és működésével foglalkozunk: kódolók, dekódolók,
LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.
EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése MicroBlaze processzor Fehér Béla Raikovich Tamás
Digitális technika (VIMIAA02) Laboratórium 1
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,
Digitális technika (VIMIAA02) Laboratórium 4
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 4 Fehér Béla Raikovich Tamás,
Digitális technika (VIMIAA02) Laboratórium 1
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 1 Fehér Béla Raikovich Tamás,
Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)
Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz
A MiniRISC processzor
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A MiniRISC processzor Fehér Béla, Raikovich Tamás, Fejér Attila BME MIT
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Beágyazott rendszerek Fehér Béla Raikovich Tamás
Digitális technika (VIMIAA02) Laboratórium 5.5
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 5.5 Fehér Béla Raikovich Tamás,
LOGSYS LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ. 2010. november 8. Verzió 1.0. http://logsys.mit.bme.hu
LOGSYS LCD KIJELZŐ MODUL FELHASZNÁLÓI ÚTMUTATÓ 2010. november 8. Verzió 1.0 http://logsys.mit.bme.hu Tartalomjegyzék 1 Bevezetés... 1 2 Kommunikációs interfész... 2 3 Memóriák az LCD vezérlőben... 3 3.1
Digitális technika Xilinx ISE GUI használata
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Xilinx ISE GUI használata BME MIT Fehér Béla Raikovich
Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar
Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal
_INVHU000_WriteReadParameter.cxf Frekvenciaváltók
INV-HU-000 A FB feladata A dokumentáció tartalma Szimbólum A CP1H vagy a CP1L PLC és frekvenciaváltó(k) automatikus kommunikációja: _INVHU000_WriteReadParameter A frekvenciaváltó üzemi paramétereinek írása,
Karakteres LCD kijelző használata MiniRISC processzoron
Karakteres LCD kijelző használata MiniRISC processzoron F1. A MiniRISC processzor rendelkezik általános célú adat be-/kimeneti (GPIO) interfészekkel. Ezek az interfészek rugalmasan használhatók szinte
Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar
Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De
LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István
LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű
Yottacontrol I/O modulok beállítási segédlet
Yottacontrol I/O modulok beállítási segédlet : +36 1 236 0427 +36 1 236 0428 Fax: +36 1 236 0430 www.dialcomp.hu dial@dialcomp.hu 1131 Budapest, Kámfor u.31. 1558 Budapest, Pf. 7 Tartalomjegyzék Bevezető...
Nyolcbites számláló mintaprojekt
Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal
Az MSP430 mikrovezérlők digitális I/O programozása
10.2.1. Az MSP430 mikrovezérlők digitális I/O programozása Az MSP430 mikrovezérlők esetében minden kimeneti / bemeneti (I/O) vonal önállóan konfigurálható, az P1. és P2. csoportnak van megszakítás létrehozó
Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar
Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 5. Laboratóriumi gyakorlat Kombinációs logikai hálózatok 2. Komparátorok Paritásvizsgáló áramkörök Összeadok Lab5_: Két bites komparátor
Programozási segédlet DS89C450 Fejlesztőpanelhez
Programozási segédlet DS89C450 Fejlesztőpanelhez Készítette: Fekete Dávid Processzor felépítése 2 Perifériák csatlakozása a processzorhoz A perifériák adatlapjai megtalálhatók a programozasi_segedlet.zip-ben.
Mikrorendszerek tervezése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Külső memória illesztése, DMA Fehér Béla Raikovich
Autóipari beágyazott rendszerek. Komponens és rendszer integráció
Autóipari beágyazott rendszerek és rendszer integráció 1 Magas szintű fejlesztési folyamat SW architektúra modellezés Modell (VFB) Magas szintű modellezés komponensek portok interfészek adattípusok meghatározása
SZORGALMI FELADAT. 17. Oktober
SZORGALMI FELADAT F2. Tervezzen egy statikus aszinkron SRAM memóriainterfész áramkört a kártyán található 128Ki*8 bites memóriához! Az áramkör legyen képes az írási és olvasási műveletek végrehajtására
Digitális technika (VIMIAA01) Laboratórium 4
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 4 Fehér Béla Raikovich Tamás,
A vezérlő alkalmas 1x16, 2x16, 2x20, 4x20 karakteres kijelzők meghajtására. Az 1. ábrán látható a modul bekötése.
Soros LCD vezérlő A vezérlő modul lehetővé teszi, hogy az LCD-t soros vonalon illeszthessük alkalmazásunkhoz. A modul több soros protokollt is támogat, úgy, mint az RS232, I 2 C, SPI. Továbbá az LCD alapfunkcióit
Az interrupt Benesóczky Zoltán 2004
Az interrupt Benesóczky Zoltán 2004 1 Az interrupt (program megszakítás) órajel generátor cím busz környezet RESET áramkör CPU ROM RAM PERIF. adat busz vezérlõ busz A periféria kezelés során információt
A Számítógépek hardver elemei
Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerő perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi
Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?
System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC
Hardver leíró nyelvek (HDL)
Hardver leíró nyelvek (HDL) Benesóczky Zoltán 2004 A jegyzetet a szerzıi jog védi. Azt a BME hallgatói használhatják, nyomtathatják tanulás céljából. Minden egyéb felhasználáshoz a szerzı belegyezése szükséges.
A Számítógépek hardver elemei
Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek hardver elemei Korszerű perifériák és rendszercsatolásuk A µ processzoros rendszer regiszter modellje A µp gépi
Nyíregyházi Egyetem Matematika és Informatika Intézete. Input/Output
1 Input/Output 1. I/O műveletek hardveres háttere 2. I/O műveletek szoftveres háttere 3. Diszkek (lemezek) ------------------------------------------------ 4. Órák, Szöveges terminálok 5. GUI - Graphical
DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István
IGITÁLIS TECHNIKA 7 Előadó: r. Oniga István Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók S tárolók JK tárolók T és típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók
INVERSE MULTIPLEXER RACK
SP 7505 Tartalomjegyzék...1 Általános ismertetés...2 Követelmények...2 Felépítése és működése...3 Beállítások...3 Felügyelet...3 Csatlakozók...3 Kijelzők...3 Műszaki adatok:...4 G703 felület:...4 LAN felület:...4
Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017)
Periféria illesztési példák áramkörön belüli buszra (kiegészítés az előadás vázlathoz) Benesóczky Zoltán (2017) Periféria illesztés mikroprocesszoros buszra (áramkörön belüli szinkron busz esetén) KÓDMEMÓIA
SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel
SysCVideo: fiktív grafikus kártya SystemC modulként, SDL alapú megjelenítéssel Czirkos Zoltán 2015. augusztus 26. Kivonat Az ismertetett SystemC modul egy mikroprocesszoros rendszerhez illeszthető megjelenítő
CIMR-V7AZ, CIMR-F7Z, CIMR-E7Z, CIMR-L7Z, CIMR-G7 V1000 sorozat CIMR-VZA PLC CPU-k CP1H-X, CP1H-XA, CP1H-Y CP1L-L, CP1L-M
INV-HU-001 A FB feladata A dokumentáció tartalma Szimbólum A CP1H vagy a CP1L PLC és frekvenciaváltó(k) automatikus kommunikációja: _INVHU001_WriteReadParameter A frekvenciaváltó üzemi paramétereinek írása,
Digitális technika (VIMIAA01) Laboratórium 9
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,
11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON
11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,
Digitális technika (VIMIAA02) Laboratórium 3
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,
APB mini PLC és SH-300 univerzális kijelző Általános használati útmutató
APB mini PLC és SH-300 univerzális kijelző Általános használati útmutató Fizikai összeköttetési lehetőségek: RS232 APB-232 RS485 A APB-EXPMC B SH-300 program beállítások: Kiválasztjuk a megfelelő PLC-t.
Digitális technika (VIMIAA02) Laboratórium 3
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 3 Fehér Béla Raikovich Tamás,
Digitális technika (VIMIAA02) Laboratórium 12
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,
Digitális technika (VIMIAA02) Laboratórium 12
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 12 Fehér Béla Raikovich Tamás,
Dr. Oniga István DIGITÁLIS TECHNIKA 9
r. Oniga István IGITÁLIS TEHNIKA 9 Regiszterek A regiszterek több bites tárolók hálózata S-R, J-K,, vagy kapuzott tárolókból készülnek Fontosabb alkalmazások: adatok tárolása és adatmozgatás Funkcióik:
5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI
5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI 1 Kombinációs hálózatok leírását végezhetjük mind adatfolyam-, mind viselkedési szinten. Az adatfolyam szintű leírásokhoz az assign kulcsszót használjuk, a
Digitális technika (VIMIAA01) Laboratórium 10
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 10 Fehér Béla Raikovich Tamás,
Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai
Újrakonfigurálható technológiák nagy teljesítményű alkalmazásai Xilinx System Generator Szántó Péter BME MIT, FPGA Laboratórium Xilinx System Generator MATLAB Simulink Toolbox Simulink Modell alapú grafikus
10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti
10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő
Silabs STK3700, Simplicity Studio laborgyakorlat
Silabs STK3700, Simplicity Studio laborgyakorlat Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2016 Saját Firmware library Saját
Digitális technika (VIMIAA01) Laboratórium 9
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA01) Laboratórium 9 Fehér Béla Raikovich Tamás,
Digitális technika VIMIAA01
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek
Digitális technika VIMIAA01
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika VIMIAA01 Fehér Béla BME MIT Processzor utasítás rendszerek
Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció
BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció
A mikroszámítógép felépítése.
1. Processzoros rendszerek fő elemei mikroszámítógépek alapja a mikroprocesszor. Elemei a mikroprocesszor, memória, és input/output eszközök. komponenseket valamilyen buszrendszer köti össze, amelyen az
A Számítógépek felépítése, mőködési módjai
Mechatronika, Optika és Gépészeti Informatika Tanszék Kovács Endre tud. Mts. A Számítógépek felépítése, mőködési módjai Mikroprocesszoros Rendszerek Felépítése Buszrendszer CPU OPERATÍV TÁR µ processzor
Szimuláció és verifikáció. Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció. Kétfajta szimulációs módszer
BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rszerek tervezése FPGA áramkörökkel Fehér Béla Szántó Péter,
A ChipScope logikai analizátor
A ChipScope egy, az FPGA tervbe integrálható logikai analizátor, amely az FPGA terv belső jeleinek vizsgálatára használható Előnye a normál logikai analizátorhoz képest Az igényeknek megfelelően konfigurálható
7.hét: A sorrendi hálózatok elemei II.
7.hét: A sorrendi hálózatok elemei II. Tárolók Bevezetés Bevezetés Regiszterek Számlálók Memóriák Regiszter DEFINÍCIÓ Tárolóegységek összekapcsolásával, egyszerű bemeneti kombinációs hálózattal kiegészítve
Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)
Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.
A LOGSYS rendszer ismertetése
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A LOGSYS rendszer ismertetése Raikovich Tamás BME MIT atórium A LOGSYS
Számítógép Architektúrák
Perifériakezelés a PCI-ban és a PCI Express-ben Horváth Gábor 2017. február 14. Budapest docens BME Hálózati Rendszerek és Szolgáltatások Tanszék ghorvath@hit.bme.hu A PCI PCI = Peripheral Component Interfész,
Rendszerarchitektúrák labor Xilinx EDK
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Rendszerarchitektúrák labor Xilinx EDK Raikovich Tamás BME MIT Labor tematika
A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK A PicoBlaze vezérlő alkalmazása a LOGSYS kártyán Fehér Béla BME MIT atórium
AF 088II DIO 16/8 AF 088II DIO 16. Digitális ki-, bemeneti modul. Digitális bemeneti modul
- Csatlakozás az AF 088II rendszer digitális buszra - Kódkapcsolóval beállitható egység cím0..f - 16 db kétállapotú bemenet (=24V DC) - Galvanikus leválasztás - 1.5 kv szigetelési feszültség - Túlfeszültség
RUBICON Serial IO kártya
RUBICON Serial IO kártya Műszaki leírás 1.0 Készítette: Forrai Attila Jóváhagyta: Rubin Informatikai Zrt. 1149 Budapest, Egressy út 17-21. telefon: +361 469 4020; fax: +361 469 4029 e-mail: info@rubin.hu;
Easton420. Automata Telefon hangrögzítő. V 6.0 Telepítése Windows XP rendszerre
Easton420 Automata Telefon hangrögzítő V 6.0 Telepítése Windows XP rendszerre A mellékelt telepítő CD-t helyezze a számítógép lemez olvasó egységbe, várja meg az automatikus indítási képernyőt. Majd válassza
11.2.1. Joint Test Action Group (JTAG)
11.2.1. Joint Test Action Group (JTAG) A JTAG (IEEE 1149.1) protokolt fejlesztették a PC-nyák tesztelő iapri képviselők. Ezzel az eljárással az addigiaktól eltérő teszt eljárás. Az integrált áramkörök
12.1.1. A Picoblaze Core implementálása FPGA-ba
12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx
Dr. Oniga István DIGITÁLIS TECHNIKA 8
Dr. Oniga István DIGITÁLIS TECHNIA 8 Szekvenciális (sorrendi) hálózatok Szekvenciális hálózatok fogalma Tárolók RS tárolók tárolók T és D típusú tárolók Számlálók Szinkron számlálók Aszinkron számlálók
Egyszerű RISC CPU tervezése
IC és MEMS tervezés laboratórium BMEVIEEM314 Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű RISC CPU tervezése Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. február 14. Nagy Gergely
DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ
Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1
12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON
12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON 1 Az FPGA eszközök bemeneti jeleit gyakran mechanikai kapcsolókkal hozzuk létre. Használható váltókapcsoló, amely a nulla és az egyes logikai
Újrakonfigurálható eszközök
Újrakonfigurálható eszközök 4. Verilog példaprogramok EPM240-hez Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Tartalom C-M240 fejlesztői kártya, felhasznált kivezetések 15-fdiv-LED:
Digitális technika (VIMIAA02) Laboratórium 2
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika (VIMIAA02) Laboratórium 2 Fehér Béla Raikovich Tamás,
Újrakonfigurálható eszközök
Újrakonfigurálható eszközök 5. A Verilog sűrűjében: véges állapotgépek Hobbielektronika csoport 2017/2018 1 Debreceni Megtestesülés Plébánia Felhasznált irodalom és segédanyagok Icarus Verilog Simulator:
Számítógépek felépítése, alapfogalmak
2. előadás Számítógépek felépítése, alapfogalmak Lovas Szilárd, Krankovits Melinda SZE MTK MSZT kmelinda@sze.hu B607 szoba Nem reprezentatív felmérés kinek van ilyen számítógépe? 2 Nem reprezentatív felmérés
Attribútumok, constraint-ek
BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Attribútumok, constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich
Hálózati architektúrák laborgyakorlat
Hálózati architektúrák laborgyakorlat 4. hét Dr. Orosz Péter, Skopkó Tamás 2012. szeptember Hálózati réteg (L3) Kettős címrendszer Interfész konfigurációja IP címzés: címosztályok, alhálózatok, szuperhálózatok,
Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök
Hobbi Elektronika A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök 1 Programozható logikai eszközök Programozható logikai áramkörök (Programmable Logic Devices) a kombinációs logikai
T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, 2012. Minden jog fenntartva
T Bird 2 AVR fejlesztőpanel Használati utasítás Gyártja: BioDigit Kft Forgalmazza: HEStore.hu webáruház BioDigit Kft, 2012 Minden jog fenntartva Főbb tulajdonságok ATMEL AVR Atmega128 típusú mikrovezérlő