Tervezési módszerek programozható logikai eszközökkel

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Tervezési módszerek programozható logikai eszközökkel"

Átírás

1 Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt Tervezési módszerek programozható logikai eszközökkel 3. Xilinx ISE Design Suite integrált fejlesztő rendszer használata. ISim szimulációs eszköz használata Mérnöktovábbképző tanfolyam

2 2 Tárgyalt ismeretkörök 3. előadás I.) Xilinx ISE Design Suite fejlesztő környezet, II.) Xilinx ISim integrált szimulátor használata.

3 3 Bemutatás egyszerű példán keresztül I. XILINXISE DESIGN SUITE(14.7)

4 XilinxISE Design Suite14.7 Laborokon a XilinxISE Design Suite14.7 integrált fejlesztő keretrendszert használjuk! *ISE Project Navigator: integrált fejlesztő környezet, amelyből más program modulok indíthatók, mint pl: Core Generator IP magok paraméterezése Schematic Editor Kapcsolási rajz szerkesztő *ISim integrált szimulátor PlanAhead Teljes tervezési folyamat menedzselése Xilinx Platform Studio(XPS) = EDK + SDK Beágyazott rendszer firmware + szoftver együttes tervező / fejlesztő környezete Timing Analyzer Időzítési analizátor FPGA Editor (FPGA chip layout) *impact Bitstream(FPGA konfiguráció) letöltő program / debug ChipScope: logikai analizátor * Következő előadáson részletesen tárgyaljuk és használjuk 4

5 XilinxISE Project Navigator

6 6 XilinxCoreGenerator Paraméterezhető IP-k (Intellectual Property, azaz ún. Szellemi termékek ) adatbázisa, amelyeket kifejezette Xilinx FPGA eszközökre optimalizáltak. Különböző előre elkészített funkciók katalógusa, melyek paraméterei GUI-n keresztül konfigurálhatóak. Ezekből szimulálható, illetve szintetizálható, ált. titkosított (encrypted) forrásokat, leírókat generálhatunk, melyek beépíthetők a FW tervekbe. A generálható IP-k rendkívül sokoldalúak, komplexitásuk az egyszerű FIFO-tól egészen a memória vezérlőkig, szűrőkig terjedhet. Van közöttük: Legtöbb szabadon elérhető (freely available), mások Licensz kötelesek (licensed), de időkorláttal kipróbálhatóak* Low-level- alap blokkok/ funkciók: Komparátorok, számlálók, Shift Regiszterek, Ált. Regiszterek, Akkumulátorok FIFOs,(soft-core) Memória vezérlők High-level- rendszer szintű funkciók: Reed-Solomon Dekódoló Kódoló, FIR szűrő, FFT(DSP alkalmazásokhoz) Szabványos busz interfészek (PCI, PCI-X ) Hálózati interfészek, összeköttetések (Ethernet, SPI-4.2, PCI ) PCI EXPRESS mikroprocesszor interfészek

7 7 XilinxISE: Egyszerű példa Digilent Nexys-2 FPGA kártyán található LED-ek, illetve kapcsolók összekötése (egyelőre anélkül, hogy a VHDL nyelvi elemeit részletesen tárgyalnánk) Feladat: 8 kapcsoló (sw<7:0>) összekötése a 8 LED kijelzővel (led<7:0>) Főbb lépések a következők: 1. Projekt létrehozása (Create project). 2. HDL fileok létrehozása (újat) vagy hozzáadása (már meglévőt). 3. HDL nyelvi szintaxis ellenőrzése (Check HDL syntax). ISE Project Navigator indítása: Programok Xilinx ISE Design Suite 14.7 ISE Project Navigator

8 8 1.a.) Projekt létrehozása Egy ISE projekt alapvető információkat tartalmaz a forrás fileokról (pl. HDL, EDIF), illetve a használt FPGA eszközről (target device) a.) Project Navigator, File menü New Project (A New Project Wizard) New project ablaka jelenik meg. Adjuk meg a projekt nevét ( sw8toled8 ), és elérési útját. - Figyeljünk arra hogy az elérési út NE tartalmazzon ékezetet és white-space karaktereket! - A projekt neve, és majd a HDL forrás neve NE kezdődjön számmal, csak betűvel(de lehet bennük szám). - Lehetőség szerint a projekt neve és a forrás(ok) neve legyen eltérő, a későbbi hibaüzenetekben szereplő azonosítás végett. Ellenőrizze, hogy a Top-level típus, azaz a legfelső hierarchia szinten lévő forrás típusa a HDL (lehet még EDIF/Schematic/NGC/NGO formátumot is hozzárendelni a projekthez).

9 9 1.a.) Projekt létrehozása 1 2

10 10 1.b.) Projekt beállításai New Project Wizard - Device Properties ablak. Itt kell megadni a használt FPGA eszköz típusát. Ez a Digilent Nexys-2 kártya adatlapjában pontosan meg van adva, illetve ennek legtöbb paramétere az FPGA-s chip tokjáról is leolvasható. - Product Category: All - Family: Spartan3E -Device: XC3S1200E XC3S500E - Package: FG320 -Speed grade:-4 //(Nexys K)#1-2. kártya //(Nexys-500K) #3-10. kártya, vagy Szükséges lehet azt is ellenőrizni, hogy a Xilinx XST szoftver van-e kiválasztva a szintézis eljáráshoz(mivel lehet külső gyártó szoftverét is alkalmazni) - Synthesis Tool: XST (VHDL/Verilog) //Xilinx Systhesis Tool for XST - Szimulátor: Isim(ISE beépített szimulátor modulja, később ModelSim XE-t használjuk) - Preferált nyelv: VHDL (lehetne akár verilog HDL is) Néhányszor Next -et kell nyomni a következő dialogus ablakokra majd Finish.

11 11 1.b.) Projekt beállításai!

12 12 2. Tervezői file (HDL) hozzáadása Itt kell hozzáadni a meglévő, vagy létrehozni egy HDL forrás filet. a.) Project menü + New Source. New Source Wizard - Select Source Type ablak jelenik meg. Itt a VHDL Module -t kell kiválasztani. A file neve legyen sw2led.vhd. NEXT. b.) A következő ablakban a port neveket lehet megadni, típusaikkal, illetve busz szélességükkel. Port Type/Name/Bus: Input sw busz típus (7:0) Port Type/Name/Bus: Output led busz típus (7:0) Architektúra neve maradhat alap Behavioral(azaz viselkedési). NEXT c.) Summaryablakra egy Finish után egy új HDL szöveges szerkesztő jelenik meg a workplace window-ban Az ISE szoftver automatikusan generálja le a HDL forrásfiletartalmát, amely a headert, library neveket, entitás deklarációkat és egy üres architektúra vázat tartalmaz d.) Alapértelmezettként, az ISE a következő libraryhozzárendeléseket generálja le: library IEEE; use IEEE.STD_LOGIC_1164.ALL; A fenti könyvtár IEEE szabvány, de lehet helyette még alkalmazni a IEEE.STD-LOGIC- ARITH.ALL, vagy a IEEE.STD-LOGIC-UNSIGNED.ALL könyvtárakat is. e.) a szöveges editor használható a VHDL forráskód szerkesztéséhez f.) Ha szükséges ezek a lépések megismételhetőek, amikor több forrásfiletis hozzá kell adni a projekthez

13 13 2.a.) HDL modul létrehozása 1 2

14 14 2.b.) Portok megadása 3

15 15 library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values -- use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. -- library UNISIM; -- use UNISIM.VComponents.all; entity sw2led is Port ( sw : in STD_LOGIC_VECTOR (7 downto 0); led : out STD_LOGIC_VECTOR (7 downto 0)); end sw2led; architecture Behavioral of sw2led is begin end Behavioral; 2.c.)-e.) Generált HDL forrásfile Ide kerülhetnek a felhasználói kódrészletek

16 3.) Szintaxis ellenőrzés Végül a HDL forrás(ok) szerkesztése után a Check Syntax segítségével lehet a nyelvi szintaxis ellenőrzést elvégezni a.) A kívánt HDL forrás kiválasztása a Sources ablakban. b.) Majd alatta a Processes ablakban, click [+] ikonon az XST Synthesize mellett, megjeleníthetők az egyes hierarchia szintek. c.) Double-click a Check Syntax process-en. A transcript ablak jeleníti meg az ellenőrzés eredményét (riportolja az esetleges hibákat, és figyelmeztetéseket stb.) Hiba/leáll a futtatás = vörös X, Figyelmeztetés/továbblép = sárga! Mindaddig amíg hiba van a forrásfile-ban (warning megengedhető), el kell végezni a korrekciót és a Szintaxis ellenőrzést. I.)Próbaképpen:abeginésendközöttirészreadjukmegelőször: sw <= led; output-ot, tehát a portok irányultságához képest ellentétesen van hajtva. II.)Majdpróbáljukki: led <= sw; // Ekkor OK. //Ekkor hibát kapunk mivel az inputhoz rendeltük az 16

17 3. a.) CheckSyntaxhiba 17

18 3. b.) CheckSyntaxOK. 18

19 ViewTechnologySchematic 19

20 20 Bemutatás egyszerű példán keresztül II. XILINXISIMINTEGRÁLT SZIMULÁTOR

21 21 Felhasznált irodalom: Xilinx ISim In-depth tutorial(ug682): Xilinx ISimUser Guide(UG660): Digilent Nexys-2 reference manual: Digilent Adept Suite:

22 XilinxISE + ISim Xilinx ISE Design Suite (14.7) Xilinx ISim integrált HDL szimulátor (14.7) Ez egy alternatív lehetőség a helyett, hogy a kereskedelmi forgalomban kapható, piacvezető professzionális Mentor ModelSim szimulátort használjuk, Létezik néhány ingyenes HDL szimulációs eszköz is, pl. Icarus Verilog, Verilator, GHDL, etc. On-line szimulátorok:

23 Eml.: új ISE project létrehozása File -> New Project Projekt neve: myand2 Top-level forrás file típusa: HDL 23

24 ISE projekt beállításai Kiválasztani: Family: Spartan3E Device: XC3S500E / XC3S1200E Package: FG320 Speed-4 Synthesis tool: XST Simulator: ISim! Preferred Language: VHDL! 24

25 Új VHDL forrás létrehozása Project New Source VHDL Module kiválasztása File név ( myand2g )

26 Modul (entitás) név, portlista Legyen két bemenete: (a,b) input irányultságú Legyen egy kimenete: (c) output irányultságú 26

27 VHDL forráskód: AND kapcsolat CTRL+S: VHDL forrásfile mentése (*) CheckSyntax: fontos a helyes VHDL szintaxis ellenőrzése tesztelés és fordítás (szintetizálás) előtt. 27

28 28 Testbench: tesztkörnyezet összeállítása myand2g Behavioral (myand2g.vhd) kijelölése a forrás ablakban. Project New Source 1VHDL Test Bench kiválasztása 2File név ( myand2g_tb ) Konvenció: <_tb> jelölje a testbench-et mindig. 2 1

29 29 Testbenchhozzárendelés 1

30 Testbenchfájl megnyitása 1 View: Simulation 2 [+] myand2g_tb megnyitása 3[+] ISim simulator megnyitása

31 Testbenchsablon módosítása ARCHITECTURE behavior OF myand2_tb IS BEGIN -- Component Declaration for the Unit Under Test (UUT) COMPONENT myand2g PORT( a : IN std_logic; b : IN std_logic; c : OUT std_logic ); END COMPONENT; --Inputs signal a : std_logic := '0'; signal b : std_logic := '0'; --Outputs signal c : std_logic; -- No clocks detected in port list. Replace <clock> below with appropriate port name -- Instantiate the Unit Under Test (UUT) uut: myand2g PORT MAP ( a => a, b => b, c => c ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; -- insert stimulus here a <= '1'; wait for 10 ns; b <= '1'; wait for 5 ns; a <= '0'; wait for 20 ns; b <= '0'; wait for 10 ns; wait; end process; END; 31

32 ISimszimulátor beállításai Fontos: testbench kiválasztása Processesablak [-] ISimSimulator 1 Jobb klikk Simulate BehavioralModel, majd Process Properties 1! 32

33 ISimSimulationPropertiesbeállítások Display level: Advanced Simulation Runtime 200 ns Other Compiler Options: -timeprecision_vhdl 1ns 2 3 1

34 ISimszimulátor indítása SimulateBehavioral Model indításával Waveform ablak nyílik meg, melyben a gerjesztéseknek (stimulus) megfelelő kimeneti jelek vizsgálhatóak. 1! 34

35 ISimfelhasználói interfész: waveformablak 35

36 ISE szintézis / implementáció 1 Sourcesablak: Implementation kiválasztása myand2g Behavioral kiválasztása Processes ablak: dupla kattintás Synthesize XST

37 ViewRTL Schematic 37

38 ViewTechnologySchematic 38

39 UserConstraints: felhasználói megkötések Sourcesablak: myand2g Behavioral kiválasztása Project menü New Source Implementation Constraint File kiválasztása a listából (.ucf) File név (myand2g) Két megoldás is van az FPGA fizikai lábak hozzárendelésére (assign pin constraints): a.) text editor (ISE) b.) grafikus Floorpan IO Editor (Pin Planner) 39

40 a.) FPGA lábak: text editor Sourcesablak: [+] myand2g Behivioral myand2g.ucf kiválasztása Processes ablak: User Constraints Edit Constraints by text 40

41 41 a.) AssignPackagePins: myand2g.ucf Master UCF fileok megnyitása Nexys2 500K / 1200K: #LEDs és #Switches szekciók átmásolása a myand2g.ucf fájlba: # Leds NET "Led<0>" LOC = "J14"; # Bank = 1, Pin name = IO_L14N_1/A3/RHCLK7, Type = RHCLK/DUAL, Sch name = JD10/LD0 # Switches NET "sw<0>" LOC = "G18"; # Bank = 1, Pin name = IP, Type = INPUT, Sch name = SW0 NET "sw<1>" LOC = "H18"; # Bank = 1, Pin name = IP/VREF_1, Type = VREF, Sch name = SW1 led<0> átnevezése c, sw<0> átnevezése b, sw<1> a. Végül: myand2g.ucf mentése.

42 b.) AssignPackagePins Sourcesablak: [+] myand2g Behivioral Processesablak: [+] Place& Route kiválasztása. FloorplanDesign kiválasztása 42

43 b.) AssignPackagePins Layoutmenü -> IO Planning Site-ok beállítása a: H18//SW1 b: G18//SW0 c: J14 //LED0 43

44 ImplementDesign Processesablak: Implement Design Placeand Route(PAR) Reportellenőrzése a Console-ban: LOCed IBUFs/IOBs. Design Summary Report: Number of External IOBs 3 out of 232 1% Number of External Input IOBs 2 Number of External Input IBUFs 2 Number of External Output IOBs 1 Number of External Output IOBs 1 Number of External Bidir IOBs 0 Number of Slices 1 out of % Number of SLICEMs 0 out of % 44

45 45 ProgrammingFile Properties Processes ablak: Generate ProgrammingFile -> Properties StartupOptions/ FPGA Start-UpClocktoJTAG Clock. OK. Start Generate Programming File

46 AdeptSuite FPGA konfigurálás lépései 1USB kábel csatlakoztatása Nexys2 kártyához. Kártya bekapcsolása. 2Digilent Adeptindítása 3Config Tab 4Initialize Chain 5Browse myand2g.bit FPGA konfigurációs file (bitstream) 6Program

47 Feladat 1.): teljes Design Flow Switch-Led feladat implementálása FPGA-n SW(7:0) összekapcsolása LED(7:0)-el, Check Syntax-> Synthesis ->Implementation ->Generate bitstream lépések végrehajtása, VHDL Testbench készítése ( sw8toled8_tb néven) és a.vhd forrásfile viselkedési szimulációja Xilinx ISim segítségével,.bit (bitstream) letöltése a Spartan-3E FPGA-ra(Nexys2) az Adept Suite segítségével. Ellenőrzés. 47

48 48 Feladat 2.) A képen látható kombinációs logikai hálózatmegtervezése és implementálása VHDL-ben ( multi_gates.vhd néven), amely a következő kapukat és összeköttetéseket tartalmazza: SW(1:0) port -> a és b bemenetekre LED(5:0) port -> az egyes <xyz>_gate(s) kezelésére Check Syntax-> Synthesis-> Implementation-> Generate bitstream VHDL Testbenchkészítése ( multi_gates_tb.vhd néven) és a.vhdforrásfile viselkedési szimulációjaxilinx ISimsegítségével.bit (bitstream) letöltése a Spartan-3E FPGA-ra (Nexys2) az Adept Suite segítségével. Ellenőrzés.

49 49 Feladat 2.) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity multi_gates is port( a : in STD_LOGIC; b : in STD_LOGIC; and_gate : out STD_LOGIC; nand_gate : out STD_LOGIC; or_gate : out STD_LOGIC; nor_gate : out STD_LOGIC; xor_gate : out STD_LOGIC; ); end multi_gates; xnor_gate : out STD_LOGIC architecture Behavioural of multi_gates is begin and_gate <= a and b; nand_gate <= a nand b; or_gate <= a or b; nor_gate <= a nor b; xor_gate <= a xor b; xnor_gate <= a xnor b; end Behavioural;

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 3. Xilinx Vivado Design Suite integrált fejlesztő rendszer

Részletesebben

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz

Részletesebben

Digitális elektronika gyakorlat. A VHDL leírástípusok

Digitális elektronika gyakorlat. A VHDL leírástípusok A VHDL leírástípusok 1. A funkcionális leírásmód Company: SAPIENTIA EMTE Engineer: Domokos József Create Date: 08:48:48 03/21/06 Design Name: Module Name: Logikai es kapuk funkcionalis leirasa- Behavioral

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. VörösháziZsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 7. VHDL FELADATOK: Speciális nyelvi szerkezetek. Sorrendi

Részletesebben

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István Laborgyakorlat 3 A modul ellenőrzése szimulációval Dr. Oniga István Szimuláció és verifikáció Szimulációs lehetőségek Start Ellenőrzés után Viselkedési Funkcionális Fordítás után Leképezés után Időzítési

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Tervezzetek egy félösszeadó VHDL modult 2. Tervezzetek egy teljes összeadó VHDL modult 3. Schematic Editor segítségével tervezzetek egy 4 bit-es öszeadó áramkört. A két bemeneti számot a logikai

Részletesebben

Digitális elektronika gyakorlat

Digitális elektronika gyakorlat FELADATOK 1. Felhasználva az XSA 50 FPGA lapon található 100MHz-es programozható oszcillátort, tervezzetek egy olyan VHDL modult, amely 1 Hz-es órajelet állít elő. A feladat megoldható az FPGA lap órajelének

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Előadó: Dr. Oniga István Programozható logikai áramkörök fejlesztőrendszerei Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 1. Laboratóriumi gyakorlat A gyakorlat célja: megismerkedni a félév során használandó fejlesztőeszközökkel megszerezni a szükséges

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti 10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti kapcsolója által definiált logikai szinteket fogadja, megfelelő

Részletesebben

1 Laboratóriumi gyakorlat

1 Laboratóriumi gyakorlat 1 Laboratóriumi gyakorlat A gyakorlat célja: megismerkedni a félév során használandó fejlesztoeszközökkel megismerkedni a félév során használandó fejlesztoeszközökkel megszerezni a szükséges készségeket

Részletesebben

Bevezető az ISE rendszer használatához

Bevezető az ISE rendszer használatához Bevezető az ISE rendszer használatához Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 1. Laboratóriumi gyakorlat A gyakorlat célja: megismerkedni a félév során használandó fejlesztőeszközökkel megszerezni a szükséges

Részletesebben

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar Szabó Tamás Dr. Lovassy Rita - Tompos Péter DIGITÁLIS TECHNIKA LABÓRATÓRIUMI ÚTMUTATÓ 3. kiadás Mikroelektronikai és Technológia Intézet Budapest, 2014-1

Részletesebben

Digitális technika Xilinx ISE GUI használata

Digitális technika Xilinx ISE GUI használata BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális technika Xilinx ISE GUI használata BME MIT Fehér Béla Raikovich

Részletesebben

ISE makró (saját alkatrész) készítése

ISE makró (saját alkatrész) készítése ISE makró (saját alkatrész) készítése 1. Makró (saját alkatrész) hozzáadása meglévő projekthez... 2 1.1. Kapcsolási rajz alapú makró készítése... 2 1.2. Kapcsolási rajz alapú saját makró javítása... 4

Részletesebben

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu

Digitális rendszerek. I. rész. Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu Digitális rendszerek I. rész Dr. Turóczi Antal turoczi.antal@nik.uni-obuda.hu A tárgy célja Bevezető Digitális rendszertervezés alapjai Programozható logikai áramkörök Hardverleíró nyelvek (VHDL) A digitális

Részletesebben

Mikrorendszerek tervezése

Mikrorendszerek tervezése BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Mikrorendszerek tervezése Vivado fejlesztői környezet Fehér Béla Raikovich

Részletesebben

12.1.1. A Picoblaze Core implementálása FPGA-ba

12.1.1. A Picoblaze Core implementálása FPGA-ba 12.1.1. A Picoblaze Core implementálása FPGA-ba A Picoblaze processzor Ebben a fejezetben kerül bemutatásra a Pikoblaze-zel való munka. A Picoblaze szoftveres processzort alkotója Ken Chapman a Xilinx

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: szavazatszámláló, az előadáson megoldott 3 bíró példája Szavazat példa specifikáció Tervezz

Részletesebben

VHDL alapismeretek. (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet. Összeállította: dr. Zigó Tamás

VHDL alapismeretek. (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet. Összeállította: dr. Zigó Tamás VHDL alapismeretek (Xilinx FPGA-k programozása VHDL nyelven) Oktatási jegyzet Összeállította: dr. Zigó Tamás E-mail: zigotamas@bytestudio.hu www.bytestudio.hu ByteStudio Bt. 2013. 10. 07. VHSIC (Very High

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa

Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa BUDAPESTI MŐSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Digitális rendszerek tervezése FPGA áramkörökkel LOGSYS példa Fehér Béla

Részletesebben

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016 Programozás és digitális technika II. Logikai áramkörök Pógár István pogari@eng.unideb.hu Debrecen, 2016 Gyakorlatok célja 1. Digitális tervezés alapfogalmainak megismerése 2. A legelterjedtebb FPGA-k

Részletesebben

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót

VHDL szimuláció. Tervezés. Labor II. Dr. Hidvégi Timót VHDL szimuláció Labor II. Dr. Hidvégi Timót Tervezés 1 Lefoglalt szavak abs access after alias all and architecture array assert attribute block body buffer bus case component configuration constant disconnect

Részletesebben

Attribútumok, constraint-ek

Attribútumok, constraint-ek BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Attribútumok, constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich

Részletesebben

DIGITÁLIS TECHNIKA 13. Dr. Oniga István

DIGITÁLIS TECHNIKA 13. Dr. Oniga István DIGITÁLIS TECHNIKA 13 Dr. Oniga István Xilinx FPGA-k Több család Spartan: hatékony, optimalizált struktúra Virtex: speciális funkciók, gyorsabb, komplexebb, gazdagabb g funkcionalitás Felépítés: CLB: logikai

Részletesebben

FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával

FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával Tartalom 1 Bevezetés... 2 2 A mérés során használt eszközök... 2 2.1 Spartan 3 FPGA család... 2 2.1.1 Kapcsolómátrix... 3 2.1.2 Órajel...

Részletesebben

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István PROTOTÍPUSKÉSZÍTÉS VERILOG NYELVEN Előadó: Dr. Oniga István A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/pvn/verilog.html Adminisztratív információk Tárgy: Oktató: tó Dr. Oniga István (oniga.istvan@inf.unideb.hu)

Részletesebben

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK Elıadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ple/programozhato_logika.html Adminisztratív információk Tárgy: Oktató: Dr.

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 5. A VHDL alapjai II. Nyelvi szerkezetek. Konkurens és szekvenciális

Részletesebben

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens LOGIKAI TERVEZÉS PROGRAMOZHATÓ ÁRAMKÖRÖKKEL Előadó: Dr. Oniga István Egytemi docens A tárgy weboldala http://irh.inf.unideb.hu/user/onigai/ltpa/logikai_tervezes.htmltervezes.html Adminisztratív információk

Részletesebben

Nyolcbites számláló mintaprojekt

Nyolcbites számláló mintaprojekt Nyolcbites számláló mintaprojekt 1. Bevezető A leírás egy nyolcbites számláló elkészítésének és tesztelésének lépéseit ismerteti. A számláló értéke az órajel felfutó élének hatására növekszik. A törlőgombbal

Részletesebben

Digitális technikai alapáramkörök labormérése

Digitális technikai alapáramkörök labormérése Digitális technikai alapáramkörök labormérése 1 Copyright-oldal Óbudai Egyetem - Neumann János informatikai Kar CONTENTS 1 Bevezetés 3 2 A mérés során használt eszközök 4 2.1 Spartan 3 FPGA család...........................

Részletesebben

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK Constraint-ek Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Kombinációs LABOR feladatok Laborfeladat: egyszerű logikai kapuk vizsgálata Logikai műveletek Tervezz egy egyszerű logikai kapukat

Részletesebben

1. Kombinációs hálózatok mérési gyakorlatai

1. Kombinációs hálózatok mérési gyakorlatai 1. Kombinációs hálózatok mérési gyakorlatai 1.1 Logikai alapkapuk vizsgálata A XILINX ISE DESIGN SUITE 14.7 WebPack fejlesztőrendszer segítségével és töltse be a rendelkezésére álló SPARTAN 3E FPGA ba:

Részletesebben

2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved

2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved EDK áttekintés 2004 Xilinx, Inc. All Rights Reserved Beágyazott rendszerek Beágyazott rendszereknek azokat a számítástechnikai eszközöket nevezzük, melyekre igazak a következő megállapítások: Dedikált

Részletesebben

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1. EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL 2017. június 16. Verzió 1.0 http://logsys.mit.bme.hu A dokumentum célja egy egyszerű alkalmazás

Részletesebben

Széchenyi István Egyetem www.sze.hu/~herno

Széchenyi István Egyetem www.sze.hu/~herno Oldal: 1/6 A feladat során megismerkedünk a C# és a LabVIEW összekapcsolásának egy lehetőségével, pontosabban nagyon egyszerű C#- ban írt kódból fordítunk DLL-t, amit meghívunk LabVIEW-ból. Az eljárás

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Összeadó áramkör A legegyszerűbb összeadó két bitet ad össze, és az egy bites eredményt és az átvitelt adja ki a kimenetén, ez a

Részletesebben

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés]

[cimke:] [feltétel] utasítás paraméterek [; megjegyzés] Szoftver fejlesztés Egy adott mikroprocesszoros rendszer számára a szükséges szoftver kifejlesztése több lépésből áll: 1. Forrás nyelven megírt program(ok) lefordítása gépi kódra, amihez megfelelő fejlesztő

Részletesebben

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1 Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat,

Részletesebben

Dr. Pétery Kristóf: CorelDRAW 9 testre szabás

Dr. Pétery Kristóf: CorelDRAW 9 testre szabás 2 Minden jog fenntartva, beleértve bárminemű sokszorosítás, másolás és közlés jogát is. Kiadja a Mercator Stúdió Felelős kiadó a Mercator Stúdió vezetője Lektor: Gál Veronika Szerkesztő: Pétery István

Részletesebben

II. év. Adatbázisok és számítógépek programozása

II. év. Adatbázisok és számítógépek programozása II. év Adatbázisok és számítógépek programozása A programozási ismeretek alapfogalmai a) algoritmus b) kódolás c) program a) algoritmus: elemi lépések sorozata, amely a következı tulajdonságokkal rendelkezik:

Részletesebben

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt

Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt BME Hardver modellezés SystemC-vel és SDL grafikus könyvtárral Visual Stúdió alatt Visual Studio, SystemC, SDL Tóth Gergely Endre 2013.03.18. 1 Bevezetés Ebben a dokumentumban leírom, hogy hogyan lehet

Részletesebben

Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares

Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares Robotot vezérlő szoftverek fejlesztése Developing robot controller softwares VARGA Máté 1, PÓGÁR István 2, VÉGH János 1 Programtervező informatikus BSc szakos hallgató 2 Programtervező informatikus MSc

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal

Részletesebben

Integrált áramkörök/6 ASIC áramkörök tervezése

Integrált áramkörök/6 ASIC áramkörök tervezése Integrált áramkörök/6 ASIC áramkörök tervezése Rencz Márta Elektronikus Eszközök Tanszék 12/10/2007 1/25 Mai témák Integrált áramkörök tervezése Az ASIC tervezés gyakorlata ASIC tervezési technikák Az

Részletesebben

Segédlet a Xilinx Spartan-3 FPGA méréshez

Segédlet a Xilinx Spartan-3 FPGA méréshez Segédlet a Xilinx Spartan-3 FPGA méréshez A Xilinx ISE WebPack 9.1 IDE (Integrated Development Envirement Integrált Fejlesztő Környezet) segítségével hozzunk létre egy egyszerű demo programot a gyakorlópanelen

Részletesebben

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar 2. Laboratóriumi gyakorlat gyakorlat célja: oolean algebra - sszociativitás tétel - Disztributivitás tétel - bszorpciós tétel - De

Részletesebben

Bevezető az ISE 11.2 rendszer használatához

Bevezető az ISE 11.2 rendszer használatához Bevezető az ISE 11.2 rendszer használatához (Szántó Péter, 2009-09-01) Tartalom Tartalom... 1 1. Az ISE rendszer részei... 1 2. Az ISE rendszer használata az alaplaborban... 3 3. A mintafeladat... 3 4.

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Multiplexer (MPX) A multiplexer egy olyan áramkör, amely több bemeneti adat közül a megcímzett bemeneti adatot továbbítja a kimenetére.

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 2. Tervezési módszerek. Tervezés folyamata. HLS: magas szintű

Részletesebben

1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7

1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7 1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7 1.1. Új virtuális gép és Windows Server 2008 R2 Enterprise alap lemez létrehozása 1.2. A differenciális lemezek és a két új virtuális

Részletesebben

Tervezési módszerek programozható logikai eszközökkel

Tervezési módszerek programozható logikai eszközökkel Pannon Egyetem, MIK-VIRT, Veszprém Dr. Vörösházi Zsolt voroshazi.zsolt@virt.uni-pannon.hu Tervezési módszerek programozható logikai eszközökkel 6. VHDL: speciális nyelvi szerkezetek. Sorrendi hálózatok

Részletesebben

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD) Bevezetés A laborgyakorlatok alapvető célja a tárgy későbbi laborgyakorlataihoz szükséges ismeretek átadása, az azokban szereplő

Részletesebben

A VHDL kódtól az FPGA-ba való ágyazásig From the VHDL Code to the Implementation to FPGA-s

A VHDL kódtól az FPGA-ba való ágyazásig From the VHDL Code to the Implementation to FPGA-s A VHDL kódtól az FPGA-ba való ágyazásig From the VHDL Code to the Implementation to FPGA-s KIREI Botond Sándor Kolozsvár Abstract The purpose of the VHDL hardvare describing language is to descibe the

Részletesebben

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök Újrakonfigurálható eszközök 1. CPLD komplex programozható logikai eszközök 1 Tartalom Újrakonffigurálható eszközök: PAL, PLA, CPLD, FPGA Az ALTERA MAXII EPM240 CPLD bemutatása A C-M240 fejlesztői kártya

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? System on a Chip Programmable Chip Lazányi János 2010 Tartalom A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban? Page 2 1 A hagyományos technológia Elmosódó határvonalak ASIC

Részletesebben

Using the CW-Net in a user defined IP network

Using the CW-Net in a user defined IP network Using the CW-Net in a user defined IP network Data transmission and device control through IP platform CW-Net Basically, CableWorld's CW-Net operates in the 10.123.13.xxx IP address range. User Defined

Részletesebben

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához Ellenőrizzük a projektből importált adatokat. Ha rendben vannak, akkor kattintsunk a Next gombra. Válasszuk a Create Design

Részletesebben

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet

Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet 1 Debreceni Egyetem Informatikai Kara Végh János Bevezetés a Verilog hardver leíró nyelvbe INCK??? előadási segédlet V0.30@14.11.07 Tartalomjegyzék (folyt) 2 Tartalomjegyzék I. Alapfogalmak 1.. A digitális

Részletesebben

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN Dr. Oniga István 1. Ismerkedés az ISE fejlesztőrendszerrel és a LOGSYS kártyával 2. Első projekt (Rajz) egyszerű logikai kapuk 3. Második projekt (Verilog) egyszerű

Részletesebben

FPGA fejlesztés a Xilinx ISE Webpack-ben

FPGA fejlesztés a Xilinx ISE Webpack-ben Írta: Varga László Szerkesztette: Molnár Zsolt 2007. október 25. Tartalomjegyzék 1. Bevezetés...3 2. Mintafeladat megoldása kapcsolási rajz alapú tervezés... 5 3. Feladatok a kapcsolási rajz alapú tervezéshez...

Részletesebben

Vivado IP alapú fejlesztő rendszer Segédlet

Vivado IP alapú fejlesztő rendszer Segédlet Vásárhelyi József Vivado IP alapú fejlesztő rendszer Segédlet Bevezetés Jelen segédlet célja megismertetni Xilinx Vivado FPGA fejlesztő alapfokú használatát (tervek kezelése, kapcsolási rajz szerkesztés,

Részletesebben

Használati útmutató. Felhasználói felület

Használati útmutató. Felhasználói felület Használati útmutató Felhasználói felület A DigiShield telepítése után parancsikon jön létre az Asztalon és a START menüben. A program indítása a parancsikonra való dupla kattintással történik. Az első

Részletesebben

Elemi alkalmazások fejlesztése IV. Adatbázis-kezelés ActiveX vezérlıkkel - 1

Elemi alkalmazások fejlesztése IV. Adatbázis-kezelés ActiveX vezérlıkkel - 1 ADATBÁZIS-KEZELÉS ACTIVEX VEZÉRLİK ALKALMAZÁSÁVAL I.... 1 ACTIVEX... 1 ACTIVEX CONTROL... 1 SAJÁT ACTIVEX VEZÉRLİ LÉTREHOZÁSA... 1 circctrl.cpp... 2 Háttérszín tulajdonság hozzárendelése a vezérlıhöz...

Részletesebben

Visual Basic 2005 Express Edition A VISUAL STUDIO HASZNÁLATA NEM LEKTORÁLT VÁLTOZAT

Visual Basic 2005 Express Edition A VISUAL STUDIO HASZNÁLATA NEM LEKTORÁLT VÁLTOZAT Visual Basic 2005 Express Edition A VISUAL STUDIO HASZNÁLATA Összeállította: Juhász Tibor (2008) NEM LEKTORÁLT VÁLTOZAT A dokumentumban elıforduló hibákat és egyéb megjegyzéseket kérjük a juhaszt@zmgzeg.sulinet.hu

Részletesebben

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport)

(BMEVIMIM322) Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon. (BME-MIT-Beágyazott Rendszerek Csoport) Információfeldolgozás laboratórium (BMEVIMIM322) Tárgyfelelős: dr. Sujbert László Az NI 9263 DA és NI 9239 AD kártyákra alapuló mérések NI crio-9074 platformon Krébesz Tamás és dr. Sujbert László (BME-MIT-Beágyazott

Részletesebben

LPT illesztőkártya. Beüzemelési útmutató

LPT illesztőkártya. Beüzemelési útmutató LPT illesztőkártya Beüzemelési útmutató Az LPT illesztőkártya a számítógépen futó mozgásvezérlő program ki- és bemenőjeleit illeszti a CNC gép és a PC nyomtató (LPT) csatlakozója között. Főbb jellemzők:

Részletesebben

Budapesti Műszaki és Gazdaságtudományi Egyetem VHDL. Horváth Péter, Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) 2013. október 3.

Budapesti Műszaki és Gazdaságtudományi Egyetem VHDL. Horváth Péter, Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) 2013. október 3. Áramkörtervezés az absztrakciótól a realizációig BMEVIEEM284 Budapesti Műszaki és Gazdaságtudományi Egyetem VHDL Horváth Péter, Nagy Gergely Elektronikus Eszközök Tanszéke (BME) 2013. október 3. Horváth

Részletesebben

Használati útmutató Magyar

Használati útmutató Magyar Használati útmutató Magyar Magyar Ismerkedés az Xsight távvezérlővel..................... 3 Az XSight kicsomagolása és beállítása........................................................ 3 Az XSight gombjai

Részletesebben

Bevezető az ISE 13.2 rendszer használatához

Bevezető az ISE 13.2 rendszer használatához Bevezető az ISE 13.2 rendszer használatához (Szántó Péter, Csordás Péter BME MIT 2011-09-03) Ez az ismertető a ISE 13.2 fejlesztő rendszer alkalmazásába kívánja bevezetni az olvasót. Valóban csak bevezetőről

Részletesebben

Affinium LED string lp w6300 P10

Affinium LED string lp w6300 P10 Affinium LED string lp w6300 P10 Termékcsalád leírás Komplett, egyszerűen felszerelhető, flexibilis vezetékre szerelt LED modulok Philips LED Power meghajtóval Ideális reklámvilágítás; nagyméretű betükhöz

Részletesebben

1. Ismerkedés a Hyper-V-vel, virtuális gépek telepítése és konfigurálása

1. Ismerkedés a Hyper-V-vel, virtuális gépek telepítése és konfigurálása 1. Ismerkedés a Hyper-V-vel, virtuális gépek telepítése és konfigurálása 1.1. Új virtuális gép és a Windows Server 2012 R2 Datacenter alap lemez létrehozása 1.2. A differenciális lemezek és a két új virtuális

Részletesebben

USBTiny-MKII programozó

USBTiny-MKII programozó USBTiny-MKII programozó Software telepítés Miután elkészítettük a programozónkat és az hibátlanra sikerült (forrasztások, összes átkötés via megléte, szemrevételezés legalább 12x-s nagyítóval, különös

Részletesebben

Proxer 7 Manager szoftver felhasználói leírás

Proxer 7 Manager szoftver felhasználói leírás Proxer 7 Manager szoftver felhasználói leírás A program az induláskor elkezdi keresni az eszközöket. Ha van olyan eszköz, amely virtuális billentyűzetként van beállítva, akkor azokat is kijelzi. Azokkal

Részletesebben

2 Helyezze be a CD-ROM-ot a CD-ROM meghajtóba.

2 Helyezze be a CD-ROM-ot a CD-ROM meghajtóba. Termékismertető A: Tápfeszültség-/linkjelző LED (Link: LED bekapcsolva/villog) B: USB.0 aljzat C: Védősapka Telepítés Kapcsolja be a számítógépet. Bekapcsolás Az alábbi telepítési lépések Windows XP operációs

Részletesebben

Egyszerű mikroprocesszor RTL modellek (VHDL)

Egyszerű mikroprocesszor RTL modellek (VHDL) Budapesti Műszaki és Gazdaságtudományi Egyetem Egyszerű mikroprocesszor RTL modellek (VHDL) Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 11. Horváth Péter Egyszerű mikroprocesszor RTL modellek

Részletesebben

SA-GPCOM. Telepítési leírás. Ipari GPRS átjelző. Dokumentum verzió szám: v1.0 HUN. SA-GPCOM telepítési leírás

SA-GPCOM. Telepítési leírás. Ipari GPRS átjelző. Dokumentum verzió szám: v1.0 HUN. SA-GPCOM telepítési leírás SA-GPCOM Ipari GPRS átjelző Telepítési leírás Dokumentum verzió szám: v1.0 HUN Riasztóközpontokhoz illeszthető GPRS, VOICE, SMS átjelző modul 1 A készülék általános leírása A SA-GPCOM GPRS modul egy DTMF

Részletesebben

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. HDL tervezés Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd. gabor.bata@ericsson.com HDL tervezés A HDL gondolkodásmód Órajeltartományok Reset az FPGA-ban Példák a helyes tervezési-kódolási

Részletesebben

A ChipScope logikai analizátor

A ChipScope logikai analizátor A ChipScope egy, az FPGA tervbe integrálható logikai analizátor, amely az FPGA terv belső jeleinek vizsgálatára használható Előnye a normál logikai analizátorhoz képest Az igényeknek megfelelően konfigurálható

Részletesebben

VHDL alapú tervezés. (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens

VHDL alapú tervezés. (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens VHDL alapú tervezés (nem a teljes változat) Írta : Dr. Hidvégi Timót egyetemi docens BEVEZETÉS... 4 A VHDL NYELV MEGADÁSA... 5 A VHDL NYELV ELEMEI... 5 Kommentek... 5 Fentartott szavak... 5 Adattípusok...

Részletesebben

Bevezetés az Intelligens Kártyás Ajtó Zár 19.0 verziójába

Bevezetés az Intelligens Kártyás Ajtó Zár 19.0 verziójába Bevezetés az Intelligens Kártyás Ajtó Zár 19.0 verziójába A rendszer, ami egy gazdagépből és egy olvasó-író kártya és menedzsment szoftverből áll, különféle kártyák kiadásáért és menedzseléséért felelős,

Részletesebben

IP sorozat NVR FELHASZNÁLÓI KÉZIKÖNYV

IP sorozat NVR FELHASZNÁLÓI KÉZIKÖNYV IP sorozat NVR FELHASZNÁLÓI KÉZIKÖNYV H.264 hagyományos hálózati digitális videorögzítőkhöz FIGYELMEZTETÉSEK Olvassa el figyelmesen ezt a kézikönyvet és rendeltetésének megfelelően, biztonságosan használja

Részletesebben

Témakiírások 2014/15. őszi félévben

Témakiírások 2014/15. őszi félévben Témakiírások 2014/15. őszi félévben Témavezető: Dr. Vörösházi Zsolt voroshazi@vision.vein.hu voroshazi.zsolt@virt.uni-pannon.hu Veszprém, 2014. szeptember 9. Témaismertetés #1 National Instruments - LabView

Részletesebben

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése A felsőfokú informatikai oktatás minőségének fejlesztése, modernizációja TÁMOP-4.1.2.A/1-11/1-2011-0104 Főkedvezményezett:

Részletesebben

Bevezető az ISE 13.2 rendszer használatához

Bevezető az ISE 13.2 rendszer használatához Bevezető az ISE 13.2 rendszer használatához (Szántó Péter, 2011-09-02) Tartalom Tartalom... 1 1. Az ISE rendszer részei... 1 2. Az ISE rendszer használata az alaplaborban... 3 3. A mintafeladat... 3 4.

Részletesebben

Tájékoztató. Használható segédeszköz: -

Tájékoztató. Használható segédeszköz: - A 12/2013. (III. 29.) NFM rendelet szakmai és vizsgakövetelménye alapján. Szakképesítés, azonosító száma és megnevezése 51 481 02 Szoftverüzemeltető-alkalmazásgazda Tájékoztató A vizsgázó az első lapra

Részletesebben

UC300-5LPT. USB CNC mozgásvezérlő MACH3 programhoz. Használati utasítás. Plugin verzió: V1.024

UC300-5LPT. USB CNC mozgásvezérlő MACH3 programhoz. Használati utasítás. Plugin verzió: V1.024 Használati utasítás UC300-5LPT USB CNC mozgásvezérlő MACH3 programhoz Plugin verzió: V1.024 P olgardidesign Email: info@polgardidesign.hu Web: www.polgardidesign.hu 2013.07.29. UC300-5LPT rendeltetése:

Részletesebben

DWL-G520 AirPlus Xtreme G 2,4GHz Vezeték nélküli PCI Adapter

DWL-G520 AirPlus Xtreme G 2,4GHz Vezeték nélküli PCI Adapter Ez a termék a következő operációs rendszereket támogatja: Windows XP, Windows 2000, Windows Me, Windows 98SE DWL-G520 AirPlus Xtreme G 2,4GHz Vezeték nélküli PCI Adapter Előfeltételek Legalább az alábbiakkal

Részletesebben

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

HDL nyelvek: VHDL. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium BUDAPESTI MŰSZAKI ÉS GAZDASÁGTUDOMÁNYI EGYETEM VILLAMOSMÉRNÖKI ÉS INFORMATIKAI KAR MÉRÉSTECHNIKA ÉS INFORMÁCIÓS RENDSZEREK TANSZÉK HDL nyelvek: VHDL Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás

Részletesebben

Bevezető Típusok és operátorok a VHDL-ben A VHDL nyelv vezérlési szerkezetei Hieararchikus tervezés. Budapesti Műszaki és Gazdaságtudományi Egyetem

Bevezető Típusok és operátorok a VHDL-ben A VHDL nyelv vezérlési szerkezetei Hieararchikus tervezés. Budapesti Műszaki és Gazdaságtudományi Egyetem Budapesti Műszaki és Gazdaságtudományi Egyetem A VHDL áttekintése Nagy Gergely, Horváth Péter Elektronikus Eszközök Tanszéke 2014. augusztus 18. Nagy Gergely, Horváth Péter A VHDL áttekintése 1 / 57 A

Részletesebben

Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT. Nagyteljesítményű mikrovezérlők tantárgy [vimim342]

Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT. Nagyteljesítményű mikrovezérlők tantárgy [vimim342] Budapesti Műszaki- és Gazdaságtudományi Egyetem Villamosmérnöki és Informatikai Kar MIT Nagyteljesítményű mikrovezérlők tantárgy [vimim342] 8x8x8 LED Cube Készítette: Szikra István URLJRN Tartalomjegyzék

Részletesebben

HP ProtectTools Felhasználói útmutató

HP ProtectTools Felhasználói útmutató HP ProtectTools Felhasználói útmutató Copyright 2009 Hewlett-Packard Development Company, L.P. A Microsoft és a Windows elnevezés a Microsoft Corporation Amerikai Egyesült Államokban bejegyzett kereskedelmi

Részletesebben

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA)

Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) Beágyazott elektronikus rendszerek (P-ITEEA_0033) Jelfeldolgozó processzorok (DSP) Rekonfigurálható eszközök (FPGA) 5. előadás 2015. március 11. Analóg jelfeldolgozás Analóg bejövő jelek (egy folyamat

Részletesebben