Újrakonfigurálható áramkörök. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Hasonló dokumentumok
LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Tervezési módszerek programozható logikai eszközökkel

Dr. Oniga István DIGITÁLIS TECHNIKA 9

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

MSP430 programozás Energia környezetben

FPGA & Verilog ismertetı. BME Méréstechnika és Információs Rendszerek Tanszék

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

Verilog ismertető (Szántó Péter, BME MIT, )

16F628A megszakítás kezelése

Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Mérési jegyzőkönyv. az ötödik méréshez

Digitális technika (VIMIAA02) Laboratórium 4

Újrakonfigurálható eszközök

Mapping Sequencing Reads to a Reference Genome

Egyszerű RISC CPU tervezése

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Hardver leíró nyelvek (HDL)

Digitális technika (VIMIAA02) Laboratórium 4

Járműfedélzeti rendszerek I. 4. előadás Dr. Bécsi Tamás

Újrakonfigurálható eszközök

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

Szántó Péter BME Méréstechnika és Információs Rendszerek Tanszék, FPGA Labor

A Picoblaze Core implementálása FPGA-ba

Digitális elektronika gyakorlat

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

Logikai áramkörök. Informatika alapjai-5 Logikai áramkörök 1/6

Affinium LED string lp w6300 P10

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

Újrakonfigurálható eszközök

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

Digitális technika (VIMIAA01) Laboratórium 4

MAKING MODERN LIVING POSSIBLE. Danfoss Heating Solutions

Verilog HDL ismertető 4. hét : hét dia

Újrakonfigurálható eszközök

Egyszerű mikroprocesszor RTL modellek (VHDL)

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

Elektronikus dobókocka tervezési példa

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Digitális technika (VIMIAA02) Laboratórium 3

7.hét: A sorrendi hálózatok elemei II.

MSP430 programozás Energia környezetben. Az I/O portok kezelése

ÉRZÉKELŐK ÉS BEAVATKOZÓK I. GY1.1 SENSACT0 PÉLDAPROGRAM

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

Digitális technika VIMIAA02

Digitális technika VIMIAA02

Verilog HDL ismertető

Egyszabadságfokú mechanikai rendszer irányítása nyílt hurkú vezérlés

LED alkalmazások. Schanda János

A MUTATÓNÉVMÁSOK. A mutatónévmások az angolban is (mint a magyarban) betölthetik a mondatban

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

Digitális technika (VIMIAA02) Laboratórium 3

5. Laborgyakorlat. Számláló funkciók, időzítő funkciók.

A Verilog HDL áttekintése

Mérési útmutató. Széchenyi István Egyetem Távközlési Tanszék. Impulzus szélesség moduláció (PWM) jellemzőinek vizsgálata

Attribútumok, constraint-ek

HAMBURG Használati útmutató Vezérlőmodul UKSM 24VDC Cikkszám:

Digitális technika VIMIAA hét

Bevezetés a mikrovezérlők programozásába: WS2812 RGB LED-ek vezérlése

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

APB mini PLC és SH-300 univerzális kijelző Általános használati útmutató

On The Number Of Slim Semimodular Lattices

Dr. Oniga István DIGITÁLIS TECHNIKA 9

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

TM Közlekedési lámpa vezérlő

A modern e-learning lehetőségei a tűzoltók oktatásának fejlesztésében. Dicse Jenő üzletfejlesztési igazgató

DIGITÁLIS TECHNIKA 13. Dr. Oniga István

A fealdatot két részre osztjuk: adatstruktúrára és vezérlőre

Széchenyi István Egyetem

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 2. rész

MINO V2 ÁLLVÁNY CSERÉJE V4-RE

2008. október 9. Verzió

Vasúti kocsik vázszerkezetének a felhasználhatósága kisebb nyílások áthidalására helyi érdek8 közúti utakon

Véges állapotú gépek (FSM) tervezése

Számítógépes Hálózatok GY 8.hét

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

Tartalom Tervezési egység felépítése Utasítások csoportosítása Értékadás... 38

1.oldal Budapest, Alsóerdősor u. 32 Tel.: / Mobil: / web:

Proxer 7 Manager szoftver felhasználói leírás

Mintavételes szabályozás mikrovezérlő segítségével

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Formula Sound árlista

EPP-600. Plazma áramforrás. használati útmutató (HU)

A vitorlázás versenyszabályai a évekre angol-magyar nyelvű kiadásának változási és hibajegyzéke

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

Mikrorendszerek tervezése

Contact us Toll free (800) fax (800)

Átírás:

Újrakonfigurálható áramkörök Dr. Oniga István Debreceni Egyetem, Informatikai Kar

6. Laboratóriumi gyakorlat Állapotgépek Állapotgépek felépítése Jelzőlámpa

Állapotgépek felépítése Állapotgép: vezérlési szerkezetek kialakítására használjuk Általános felépítés: Állapotregiszter (state register): állapotváltozót tárolja Következő állapot (next state) függvény: következő állapotot meghatározó logika Kimeneti (output) függvény: kimeneti jeleket előállító logika Moore: csak állapotváltozó értéke alapján Mealy: állapotváltozó és bemeneti értékek alapján

FSM példa Közlekedési lámpa Állapotok: piros, sárga, zöld, piros-sárga (a villogó sárga nem implementált) Bemeneti változók: időzítő az egyes állapotokhoz Kimenet: az állapot P PS S Z

Állapotregiszter module lampa ( input clk, rst, output reg [2:0] led); // állapot kódólás parameter PIROS = 2'b00; parameter PS = 2'b01; parameter ZOLD = 2'b10; parameter SARGA = 2'b11; reg [28:0] timer; reg [1:0] state_reg; reg [1:0] next_state; // allapotregiszter leirasa always @ (posedge clk) if (rst) state_reg <= PIROS; else state_reg <= next_state; // allapotvaltasok always @ (*) case(state_reg) PIROS: begin if (timer == 0) next_state <= PS; else next_state <= PIROS; end PS: begin if (timer == 0) next_state <= ZOLD; else next_state <= PS; end SARGA: begin if (timer == 0) next_state <= PIROS; else next_state <= SARGA; end ZOLD: begin if (timer == 0) next_state <= SARGA; else next_state <= ZOLD; end default: next_state <= 3'bxxx; endcase

Időzítés Kimeneti dekóder //idozites always @ (posedge clk) case(state_reg) PIROS: begin if (timer == 0) timer <= 200000000; else timer <= timer - 1; end PS: begin if (timer == 0) timer <= 500000000; else timer <= timer - 1; end SARGA: begin if (timer == 0) timer <= 400000000; else timer <= timer - 1; end ZOLD: begin if (timer == 0) timer <= 200000000; else timer <= timer - 1; end endcase //next_state <= PS; //next_state <= ZOLD; //next_state <= PIROS; //next_state <= SARGA; Időzítő Állapotváltáskor egy állapotfüggő kezdőértéket tölt be Lefelé számol ==0: állapotváltás // kimeneti dekoder always @ (*) case (state_reg) PS: led <= 3'b110; PIROS: led <= 3'b100; SARGA: led <= 3'b010; ZOLD: led <= 3'b001; endcase endmodule http://home.mit.bme.hu/~szanto/education/beagy_labor/verilog_pdf.pdf

Jelzőlámpa másodperc számlálóval Adjon hozzá egy ce portót a jelzőlámpa modulhoz, ez lesz majd az órajel engedélyező jel (clock enable - ce) Továbbá a ce jelet két helyen kell hozzáadni a jelzőlámpa kódjához: Az idő értékeket meg kell változtatni 500000000 -ról 5 re..

Jelzőlámpa másodperc számlálóval Írja le a Verilog top modul kódot a felső ábra alapján

Jelzőlámpás kereszteződés

Jelzőlámpa Állapotgép példa: útkereszteződés lámpája Lehetséges állapotok: piros, sárga, zöld (piros-sárga és villogó sárga nem implementált), mindkét lámpa esetén, azaz 6 lehetséges állapot Bemeneti változók: időzítők az egyes állapotokhoz Kimenet: aktuális állapot Állapot Észak-Dél Kelet-Nyugat Késleltetés (sec) 0 Zöld Piros 5 1 Sárga Piros 1 2 Piros Piros 1 3 Piros Zöld 5 4 Piros Sárga 1 5 Piros Piros 1

Jelzőlámpa Állapotgép példa: útkereszteződés lámpája 3 Hz-es órajelet használunk, amelyet a korábban megismert clkdiv modul segítségével állítunk elő Ennek megfelelően az egy másodperces várakozás esetén 3, az öt másodperces esetén 15 órajel után váltunk állapotot

Jelzőlámpa Állapotgép példa: állapotok kódolása, késleltetések, állapotváltások leírása az időzítő függvényében

Jelzőlámpa

Kimeneti dekóder Jelzőlámpa

Jelzőlámpa Hozzuk létre a top modul és az XDC fájlt Bitstream generálás után próbáljuk ki eszközön

Önálló feladat Önálló feladat 1 Implementáljuk a piros-sárga állapotot is, hogy egy valódi jelzőlámpához hasonló működést kapjunk Önálló feladat 2 Használjuk a kártyán lévő RGB LED-eket a három szín (piros, sárga, zöld színek megjelenítésére) Dokumentáció Figyeljünk a következőkre:

Önálló feladat 9.2 Tri-Color LED The Nexys A7 board contains two tri-color LEDs. Each tri-color LED has three input signals that drive the cathodes of three smaller internal LEDs: one red, one blue, and one green. Driving the signal corresponding to one of these colors high will illuminate the internal LED. The input signals are driven by the FPGA through a transistor, which inverts the signals. Therefore, to light up the tri-color LED, the corresponding signals need to be driven high. The tri-color LED will emit a color dependent on the combination of internal LEDs that are currently being illuminated. For example, if the red and blue signals are driven high, and green is driven low, the tri-color LED will emit a purple color. Note: Digilent strongly recommends the use of pulse-width modulation (PWM) when driving the tri-color LEDs (for information on PWM, see section 15.1 Pulse Density Modulation (PDM)). Driving any of the inputs to a steady logic 1 will result in the LED being illuminated at an uncomfortably bright level. You can avoid this by ensuring that none of the tri-color signals are driven with more than a 50% duty cycle. Using PWM also greatly expands the potential color palette of the tri-color led. Individually adjusting the duty cycle of each color between 50% and 0% causes the different colors to be illuminated at different intensities, allowing virtually any color to be displayed.