Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Hasonló dokumentumok
Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technika (VIMIAA02) Laboratórium 2

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 5

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika Xilinx ISE GUI használata

Digitális technika (VIMIAA02) Laboratórium 4

Digitális technika (VIMIAA01) Laboratórium 4

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

Nyolcbites számláló mintaprojekt

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA (VIMIAA02)

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

1. Az adott kapcsolást rajzolja le a lehető legkevesebb elemmel, a legegyszerűbben. MEGOLDÁS:

Hardver leíró nyelvek (HDL)

DIGITÁLIS TECHNIKA I

Dr. Oniga István DIGITÁLIS TECHNIKA 4

1. Kombinációs hálózatok mérési gyakorlatai

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális technika VIMIAA01 9. hét Fehér Béla BME MIT

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

Digitális technika VIMIAA01 9. hét

Digitális technika Laboratórium 6.

Előadó: Dr. Oniga István DIGITÁLIS TECHNIKA 3

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

Összetett feladatok megoldása

Hobbi Elektronika. A digitális elektronika alapjai: Kombinációs logikai hálózatok 1. rész

Csatlakozás a végeselem modulhoz SolidWorks-ben

1. Kombinációs hálózatok mérési gyakorlatai

ACNSEM2 Forgalom irányító lámpa vezérlés 2 lámpához

DIGITÁLIS TECHNIKA I

Véges állapotú gépek (FSM) tervezése

Logikai hálózatok. Dr. Bede Zsuzsanna St. I. em. 104.

Lakóház tervezés ADT 3.3-al. Segédlet

Bevezető az ISE 11.2 rendszer használatához

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Beágyazott rendszerek fejlesztése laboratórium DSP fejlesztési technológiák

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

4. KOMBINÁCIÓS HÁLÓZATOK. A tananyag célja: kombinációs típusú hálózatok analízise és szintézise.

Mérési jegyzőkönyv. az ötödik méréshez

Labor 2 Mikrovezérlők

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Véges állapotú gépek (FSM) tervezése

Digitális technika VIMIAA01

Digitális technika (VIMIAA01) Laboratórium 1

8.3. AZ ASIC TESZTELÉSE

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Véges állapotú gépek (FSM) tervezése

Digitális technika VIMIAA hét

Foglalkozási napló a 20 /20. tanévre

SIOUX-RELÉ. Sioux relé modul telepítési leírás Szerkesztés MACIE0191

Digitális technika VIMIAA02

Perifériák hozzáadása a rendszerhez

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, Minden jog fenntartva

Digitális Rendszerek (BSc)

MPLC-06-MIO 1 analóg és 3 digitális bemeneti állapotot átjelző interfész. Műszaki leírás

PMU Kezdı lépések. 6-0 Csatlakozás LG GLOFA-GM és SAMSUNG PLC-hez. 6-1 Kommunikáció LG PMU és LG GLOFA-GM7 / GM6 / GM4 között

Digitális technika VIMIAA hét

FANUC Robotics Roboguide

Digitális technika VIMIAA02 2. EA Fehér Béla BME MIT

Digitális technika VIMIAA01

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

MPLAB ICD használata

Megoldások a mintavizsga kérdések a VIMIAC04 tárgy ellenőrzési technikák részéhez kapcsolódóan (2017. május)

Quine-McCluskey Módszer

Rendszermodellezés: házi feladat bemutatás

Digitális technika VIMIAA02

Hobbi Elektronika. Bevezetés az elektronikába: Logikai kapuáramkörök

RAJZ1. vezetett gyakorlat

Digitális elektronika gyakorlat. A VHDL leírástípusok

MEMS eszközök redukált rendű modellezése a Smart Systems Integration mesterképzésben Dr. Ender Ferenc

A ChipScope logikai analizátor

Alapkapuk és alkalmazásaik

Megoldás Digitális technika I. (vimia102) 3. gyakorlat: Kombinációs hálózatok minimalizálása, hazárdok, a realizálás kérdései

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz

DIGITÁLIS TECHNIKA GYAKORLÓ FELADATOK 2. Megoldások

Mechatronika és mikroszámítógépek 2017/2018 I. félév. Bevezetés a C nyelvbe

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ szeptember 19. Verzió

1 Laboratóriumi gyakorlat

Tisztelt Felhasználó!

Újrakonfigurálható eszközök

Átírás:

Digitális Technika Dr. Oniga István Debreceni Egyetem, Informatikai Kar

3. Laboratóriumi gyakorlat A gyakorlat célja: Négy változós AND, OR, XOR és NOR függvények realizálása Szimulátor használata ciklussal generált tesztvektorokkal

Lab3_1 feladat: Az AND, OR, XOR és NOR függvények realizálása Bemeneti változók: DIP kapcsoló alsó 4 bitje Kimenet: alsó 4 LED Szimulátor használata a tesztvektorokat ciklussal generálva Előző heti munka alapján a DTL_2.pdf diasorozatban ismertetett módon ISE elindítása, új projekt létrehozása Lab2_3a.v forrásfájl mintakeret specifikálása Nexys4.UCF fájl másolatának hozzáadása és adaptálása a kívánt interfészekhez A Lab2_3a feladat specifikálása a funkcionális kódrészletekkel Funkcionális kód ellenőrzése szimulációval Konfiguráció generálás, letöltés, működés tesztelése a kártyán

Lab3_1 feladat: Egybites változókként használva a bemeneti jeleket Ugyanez redukciós operátorokkal vektorváltozóra Nézzük meg a grafikus kapcsolásokat is (RTL + Tech.) A technológiai nézetben a LUT-ra: SCH+EQ+TT+KM

Lab3_1 feladat: szimuláció Átkapcsolás szimulációs módba Az tesztelési környezet létrehozása az egységet működtető gerjesztő jelek megadásával történik Első lépésként adjunk hozzá a projekt-hez egy új forrást: Project / New Source ablakban - Verilog Test Fixture opció. A fájl neve legyen : Lab2_3_TF! Ki válasszuk azt a modult, amelyhez a testbench-et generáljuk jelen esetben egyetlen modulunk van. Lab2_3a (Lab2_3a.v ) Lab2_3_TF

Lab3_1 feladat: szimuláció

Tesztvektorok generálása Az automatikusan generált Verilog Test Fixture fájlt módosítjuk Négyváltozós függvények Tesztvektorok generálása lineáris kóddal Max. 16 kombináció Teljes lefedést ad Tesztvektorok generálása for ciklusban

Szimuláció elindítása A Project Navigator program View opciói közül válassza ki a Simulation-t, majd a Hierarchy ablakban jelölje ki a testbench fájlt (Lab2_3_TF). A Processes ablakban indítsa el az ISim Simulator /Simulate Behavioral Model programot. Szimulációhoz a Hierarchy ablakban a testbench file-t kell kiválasztani!!

Lab3_1 feladat: ellenőrzés A szimuláció eredménye LD[0] ÉS, LD[1] VAGY, LD[2] XOR, LD[3] NOR Generáljuk a specifikációhoz tartozó konfigurációs adatfájlt Letöltés után ellenőrizzük a működést A Laboratórium 2. eredmények kérdőíven jegyezzük fel a tapasztalatokat

Lab3_2a feladat (Szorgalmi feladat) Érvénytelen BCD kód detektálása Ha SW[3:0] nem BCD, akkor mind a 4 LED világít, egyébként az érvényes bemeneti kód jelenjen meg A 4 bites bemeneti kód 6 esetben nem felel meg Tehát 6 minterm detektálása a feladat Felírható lenne másképpen is, a szintézer majd egyszerűsíti

Lab 3_2a feladat (Szorgalmi feladat) Felírhatjuk egyszerűbb formában is Vagy aritmetikai feltételként Bármelyiket is választjuk, a teljes megoldás ilyen lesz

Lab 3_2a feladat (Szorgalmi feladat) A legtömörebb felírási mód Itt az error jel meg sem jelenik explicit módon A szintézer persze minden bitre a lehető legegyszerűbb logikát generálja ld[3] = sw[3] //1 vált. ; ld[2] = sw[2] sw[3] & sw[1]; //3 vált. ld[1] = sw[1] sw[3] & sw[2]; //3 vált. ld[0] = sw[0] sw[3] & sw[2] sw[3] & sw[1]; //4 vált. Nézzük meg View Technology Schematic

Lab 3_2a feladat: szimuláció, ellenőrzés Váltsunk át szimulációs módra A szimulációhoz a korábban már használt Lab2_3_TF.v Verilog Test Fixture már készen van, a 4 bites bemenethez 16 kombinációt generál Generáljuk a specifikációhoz tartozó konfigurációt Letöltés után ellenőrizzük a működést A Laboratórium 2. eredmények kérdőíven jegyezzük fel a tapasztalatokat

Lab3_2b feladat (Szorgalmi feladat) 4 bites érték 3-mal vagy öttel oszthatóságának jelzése Ez sajnos semmilyen módon nem egyszerűsíthető, nincsenek összevonható mintermek Esetleg felírhatjuk aritmetikai formában, de így sem tűnik egyszerűbbnek

Lab3_2b feladat (Szorgalmi feladat) A komplexitás ijesztőnek látszik View RTL Schematic 8 db AND4, 1 db OR8 View Technology Schematic 1 db LUT????????? Alaposabban megvizsgálva észrevehetünk valamit

Lab3_2b feladat: szimuláció, ellenőrzés Váltsunk át szimulációs módra A szimulációhoz a korábban már használt Lab2_1_TF.v Verilog Test Fixture már készen van A négyfajta leírás a 4 LED-en azonosan működik Generáljuk a specifikációhoz tartozó konfigurációt Letöltés után ellenőrizzük a működést A Laboratórium 2. eredmények kérdőíven jegyezzük fel a tapasztalatokat