Újrakonfigurálható eszközök

Hasonló dokumentumok
Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Újrakonfigurálható eszközök

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

Újrakonfigurálható eszközök

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

Előadó: Nagy István (A65)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Újrakonfigurálható eszközök

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Nyolcbites számláló mintaprojekt

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

Digitális technika (VIMIAA02) Laboratórium 3

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

Digitális technika (VIMIAA02) Laboratórium 3

U42S Felhasználási példák Gitárjáték rögzítése

Bevezetés a mikrovezérlők programozásába: Ismerkedés az Arduino fejlesztői környezettel

1. DIGITÁLIS TERVEZÉS PROGRAMOZHATÓ LOGIKAI ÁRAMKÖRÖKKEL (PLD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

PAL és GAL áramkörök. Programozható logikai áramkörök. Előadó: Nagy István

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

PAL és s GAL áramkörök

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

Hardver leíró nyelvek (HDL)

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

1. Kombinációs hálózatok mérési gyakorlatai

Perifériák hozzáadása a rendszerhez

Újrakonfigurálható eszközök

FortiClient VPN-IPSec kliens konfigurációs segédlet

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Tartalom jegyzék 1 BEVEZETŐ SZOFTVER ÉS HARDVER KÖVETELMÉNYEK 2 2 TELEPÍTÉS 2 3 KEZELÉS 5

A ChipScope logikai analizátor

Joint Test Action Group (JTAG)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

ISE makró (saját alkatrész) készítése

802.11b/g WLAN USB adapter. Wi-Fi detektorral. Gyors telepítési útmutató

A Szoftvert a Start menü Programok QGSM7 mappából lehet elindítani.

Bluetooth Software frissítés leírása Windows 7 rendszerhez IVE-W530BT

Dr. Oniga István DIGITÁLIS TECHNIKA 8

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05+ Geodéziai Feldolgozó Program

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

WINDOWS TELEPÍTÉSI ÉS AKTIVÁLÁSI ÚTMUTATÓ A FOTOBETYAR.HU - PHOTOSHOP PLUGINJEIHEZ

Navigációs GPS adatok kezelése QGIS programmal (1.4 verzió) Összeállította dr. Siki Zoltán

Kézikönyv ABAS-TOOLS használata

Image Processor BarCode Service. Felhasználói és üzemeltetői kézikönyv

Digitális technika (VIMIAA02) Laboratórium 1

Bevezetés a QGIS program használatába Összeálította dr. Siki Zoltán

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Vegyes témakörök. ARDUINO a kispénzű amatőrök PLC-je. Hobbielektronika csoport 2017/2018. Debreceni Megtestesülés Plébánia

Digitális technika (VIMIAA02) Laboratórium 1

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

A GeoEasy telepítése. Tartalomjegyzék. Hardver, szoftver igények. GeoEasy telepítése. GeoEasy V2.05 Geodéziai Feldolgozó Program

Rendszertervezés FPGA eszközökkel

Digitális elektronika gyakorlat. A VHDL leírástípusok

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

2. Végezzük el a tömörített fájlok kicsomagolását a számítógépünkre.

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók

Bérprogram vásárlásakor az Ügyfélnek ben és levélben is megküldjük a termék letöltéséhez és aktiválásához szükséges termékszámot.

Digitális elektronika gyakorlat

Termékinformáció Adapter A: Video C: USB B: SVHS D: Jelzőlámpa az USB-porthoz csatlakoztatva világít

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, Minden jog fenntartva

Általános soros sín (USB) Felhasználói útmutató Rendszerigény Nyomtatója beépített USB portja az alábbi minimális rendszerkonfiguráció mellett használ

BaBér. Bérügyviteli rendszer. Telepítési segédlet 2014.

MOME WiFi hálózati kapcsolat beállítása február 25.

Vodafone Mobile Connect telepítése

Telepítési útmutató a SMART Response 2009 szoftverhez

NINJA kezelői program letöltése és installálása

1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7

Telepítési útmutató a SMART Notebook 10 SP1 szoftverhez

Tanúsítvány feltöltése Oberthur kártyára és Oberthur SIM termékre

Tanúsítvány feltöltése Micardo kártyára

MSP430 programozás Energia környezetben. Kitekintés, további lehetőségek

Gyökértanúsítványok telepítése Windows Mobile operációs rendszerekre

Netis Vezetékes ADSL2+, N Modem Router Gyors Telepítési Útmutató

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ szeptember 19. Verzió

VARIO Face 2.0 Felhasználói kézikönyv

Telepítési útmutató a SMART Notebook 10.6 oktatói szoftverhez

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

Programozási segédlet DS89C450 Fejlesztőpanelhez

MICROCAP PROGRAMRENDSZER HASZNÁLATA

T-Mobile Communication Center Készülékek telepítése a TMCC segítségével

A Picoblaze Core implementálása FPGA-ba

EL-USB-1 EL-USB-2. Az adatrögzítő készenléti állapotban van. Adatrögzítés, nincs riasztás. Dupla villanás. Egy villanás. Magas riasztási fokozat

PDF. Tartalomjegyzék 1/21

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

Újrakonfigurálható eszközök

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

Bluetooth Software frissítés leírása

RIEL Elektronikai Kft v1.0

Felhasználói leírás a DimNAV Server segédprogramhoz ( )

Átírás:

Újrakonfigurálható eszközök 1. CPLD komplex programozható logikai eszközök 1

Tartalom Újrakonffigurálható eszközök: PAL, PLA, CPLD, FPGA Az ALTERA MAXII EPM240 CPLD bemutatása A C-M240 fejlesztői kártya Az USB Blaster profigramozó eszköz Az ALTERA Qartus Prime Lite fejlesztői környezet Új Qartus projekt létrehozása Áramkörtervezés hafigyományos rajzjelekkel Áramkörtervezés Verilog nyelven Áramkörtervezés VHDL nyelven 2

Újrakonfigurálható eszközök Anfigol mefignevezés: Profigrammable Lofigic Devices. A kombinációs lofigikai hálózatok és sorrendi hálózatok tervezésére használjuk. A hafigyományos lofigikai IC-k dedikált összekötetésekkel, illetve kötöt funkcióval (kimeneti füfigfigvény) rendelkeznek. A profigramozható lofigikai eszközökben ezek változtathatók: A felhasználó által egyszer programozható/konfigurálható logikai eszközök (OTP::: One Time Programmable), amelynél a gyártás során nem definiált funkció egyszer még megváltoztatható (ilyenek pl. a korai PAL, PLA eszközök) Többször, akár tetszőleges módon programozható (újrakonfigurálható) logikai eszközök (ilyenek pl. a korábbi GAL, vagy a mai modern CPLD és FPGA eszközök) CPLD Complex Programmable Logic Device FPGA Field Programmable Gate Array 3

PAL, PLA, CPLD, FPGA és FPGA 4

ALTERA MAX II CPLD család Az EPM240C100T5N CPLD IC az ALTERA (újabban Intel) MAX II termékcsaládba tartozik. Főbb jellemzői:240 db. lofigikai elem, kis fofigyasztású, olcsó CPLD 50 MHz órajel, max. 3,3 V tápfesz és jelszint, busz-barát kiés bemenetek, Schmit-trifigfigeres bemenet, JTAG újrakonffigurálhatósáfig (tipikusan 100-szor), 8kbit felhasználói FLASH memória (UFM). Logikai tömb (LAB) 10 logikai elemből áll EPM240 6x4x10 db logikai elem (LE) 5 Konfigurációs flash (CFM) UFM

Egy logikai elem felépítése LUT: Look up table (keresőtábla) A regiszter konfigurálható D, T, JK, vagy SR módba. 6

A logikai elemek működési módjai Az ALTERA MAX II lofigikai elemei kétféle módban működhetnek: Normál mód (általános logikai alkalmazásokhoz, kombinációs hálózatokhoz) Dinamikus aritmetikai mód (összeadó, számláló, akkumulátor, komparátor) Normál mód: 1 db 4-bemenetű LUT (Lookup Table) 7

A logikai elemek működési módjai Dinamikus aritmetikai mód: 4 db 2-bemenetű LUT 8

A Carry Select lánc használata A Carry-select lánc segítségével gyors átvitelképzés valósítható meg. 9

Az I/O modulok felépítése 10

A C-M240 fejlesztői kártya Gyártó: Shenzhen 21EDA Electronic Technology CPLD: Altera MAX II EPM240T100C5N Órajel: 50 MHz VDD: 3,3 V Perifériák: 8-digit kijelző, 8 LED, 4 +1 nyomógomb, 1 csipogó 11

C_M240 kapcsolási rajz I. 12

C_M240 kapcsolási rajz II. 3,3 V 3,3 V-os feszültségstabilizátor (5 V-os bemenet, kapcsolóval) EPM240T100C5N ALTERA MAX II 50 MHz oszcillátor 13

USB Blaster programletöltő Sok változata van, a lefigolcsóbb utánzat csupán efigy PIC18F14K50 mikrovezérlőből áll. Windowsos meghajtóprogramja a Qartus telepítési könyvtárában, nálam például az Altera\17.0\quartus\ drivers\usb-blaster mappában található. 14

Qartus Prime Lite fejlesztői környezet Az Intel (korábban ALTERA) Qartus Prime intefigrált fejlesztői környezete mindent tartalmaz, ami az FPGA/CPLD fejlesztésekhez szükséfiges (beleértve a szimulációt is). A Qartus Prime Lite infigyenes, de csökkentet tudású kiadás (a kiadások összehasonlít táblázata it található.) Letöltési link: htp://dl.altera.com/?edition=lite Az általunk használt EPM240 eszközhöz az alábbi komponensekre lesz szükséfig: Qartus Prime (free) ModelSim-Intel FPGA Edition MAX II, MAX V device support 15

Letöltések Windows vagy Linux kiadás válaszható Ezt a három szoftvert töltsük le! 16

A telepítés menete Töltsük le a Qartus Prime profigramot és a telepíteni kívánt kiefigészítő szofvereket (esetünkben a ModelSim-Intel FPGA Edition) efigy ideifiglenes könyvtárba! Töltsük le az eszköztámofigató kiefigészítést (esetünkben a MAX II, MAX V device support-ot) ufigyanabba a könyvtárba, ahová a Qartus Prime telepítőjét letöltötük! Indítsuk el a QartusLiteSetup-17.0.0.595-windows.exe állományt! Minden kiefigészítő szofver komponens is automatikusan telepítve lesz, amelyeket ufigyanabba a könyvtárba töltötünk le, ahová a Qartus Prime telepítőjét letöltötük. 17

Az első lépések A nyitó képernyőkép 18

Új projekt létrehozása Indítsuk el a File > New Project Wizard menüpont aktiválásával az új projektet konffiguráló tündért! Hozzuk létre és adjuk mefig az új projekt munkakönyvtárát! Adjunk nevet a projektnek, majd katintsunk a Next figombra! 19

Új projekt konfigurálása Válasszuk az Empty project (üres projekt) opciót! Katintsunk a Finish figombra! Katintsunk kétszer a Next figombra (átlépjük az Add Files lapot)! Válasszuk ki a MAX II családot! Válasszuk a Specifc device opciót! Válasszuk ki az EPM240T100C5 eszközt! 20

Áramkörtervezési lehetőségek A Qartus Prime háromféle tervezési módot támogat: Kapcsolási rajz Verilog HDL Példa: Egyszerű kombinációs logika VHDL Verilog: module LED_NOT_KEY ( A, F ); input KEY_IN; output LED_OUT; assign LED_OUT = ~KEY_IN; endmodule A következőkben ezeket a lehetőségeket vesszük sorraa VHDL: entity LED_NOT_KEY is port ( key_in : in std_logic ; led_out : out std_logic ); end LED_NOT_KEY; architecture key_led_arch of LED_NOT_KEY is begin led_out <= not key_in; end architecture; 21

Áramkörtervezés diagramszerkesztővel Hozzunk létre efigy kapcsolási rajzot a File > New > Block diagram/schematic fle menüpont kiválasztásával! A rajzeszközök felhasználásával szerkesszük mefig az alábbi kapcsolást! A kétszeres invertálás azért kell, mert a nyomófigombok és a LED-ek is nefigatív lofigika szerint vannak bekötve (alacsony szint az aktív jel). Ha készen van a rajz, mentsük el az (foppy ikonra katintva)! Kapuáramkörök I/O kivezetések Összekötés 22

A nem használt kivezetések konfigurálása Mielőt tovább lépnénk, mefig kell adni, hofigy a nem használt kivezetések milyen üzemmódba lefigyenek beállítva. Az alapértelmezet alacsony szintű kimenetre állítás esetén a C-M240 kártyán mindent bekapcsolunk (a sípolót is!). Ehelyet állítsuk a nem használt kivezetéseket bemenetnek (As input tristated)! Ehhez aktiváljuk az Assignments > Device menüpontot, majd a felbukkanó ablakban katintsunk a Device and pin options figombra! A felbukkanó újabb ablakban válasszuk ki az Unused Pins katefigóriát és állítsuk be az As input tri-stated opciót! Zárjuk be a felbukkanó ablakokat az OK figombra katintva! 23

Kivezetések hozzárendelése A hozzárendelés célja, hofigy mefigadjuk: a KEY_IN és a LED_OUT csomópontok mely kivezetésekhez csatlakozzanak. A 8 db LED katódjai rendre az 51 58 sorszámú kivezetésekhez kapcsolódnak, a kivezetés alacsony szintre húzásával figyújthatók ki. Mi most az 58. kivezetéshez tartozó LED-et fofigjuk használni. A K4 K1 nyomófigombok rendre a 26 29 sorszámú kivezetésekhez kapcsolódnak, s lenyomáskor alacsony szintre húzzák a mefigfelelő lábat. A hozzárendelések előt indítsunk efigy fordítást (lejátszás figomb), vafigy a Processing > Start > Start Analysis & Elaboration menüpontot aktiváljuk! A kivezetések hozzárendelését az Assignment Editor vafigy a Pin Planner szerkesztővel véfigezhetjük el. 24

Az Assignment Editor használata Aktiváljuk az Assignments > Assignment Editor menüpontot! A felbukkanó ablakban katintsunk a List figombra, majd dupla katintással, vafigy a > figombbal másoljuk át a jobboldali ablakba a KEY_IN és LED_OUT elemeket! Zárjuk be a felbukkanó ablakot az OK figombbal! Katintsunk az új ablak baloldalán a <<new>> dobozra! Katintsunk duplán az új sor To mezőjére, majd az ot mefigjelenő Node fnder ikonra (ne a lefigördítő nyílra)! 25

Az Assignment Editor használata Töltsük ki a táblázat Assignment Name és Value celláit az alábbiak szerint, majd mentsük el a hozzárendeléseket a foppy ikonra katintva, vafigy a File > Save menüpontot aktiválva! Fordítsuk le a projektet a lejátszás figombra katintva, vafigy a Processing > Start Compilation menüpontot aktiválva! 26

A konfigurációs kód letöltése Sikeres fordítás után a Tools > Progammer menüpont aktiválásával indítsuk el a letöltő profigramot! A felbukkanó ablakban ellenőrizzük, illetve szükséfig esetén véfigezzük el a beállításokat! A Hardver Setup figombnál az USB Blaster eszköz lefigyen beállítva! Véfigül katintsunk a Start figombra és várjuk mefig, amífig befejeződik az írás! Az ablak alsó felében az EPM240T100 eszköz lefigyen kiválasztva! A File rovatban az output_fles/project01.pof állomány neve látszódjon, s jelöljük be a Profigram/Conffigure és ízlés szerint a Verify oszlopban is a jelölőnéfigyzeteket (ezekben lefigyen pipa)! 27

A konfigurációs kód letöltése 28

Áramkörtervezés Verilog nyelven A project02 projekt létrehozása és beállítása hasonlóan történik, mint az előzőekben, csak áramköri rajz létrehozása helyet a File > New menüben efigy Verilog HDL hardverleíró (szövefiges) állományt hozunk létre. A modul neve mefig kell, hofigy efigyezzen azzal a névvel, amit a Top level Entity kapot a projekt létrehozásakor! A változatossáfig kedvéért most a PIN_26-ra kötöt K4 nyomófigombot és a PIN_51-re kötöt LED-et használjuk. 29

Áramkörtervezés VHDL nyelven A project03 projekt létrehozása efigy VHDL hardverleíró állományt hoztunk létre. A KEY_LED entitás neve mefigefigyezik azzal a névvel, amit a Top level Entity kapot a projekt létrehozásakor! Az első projekthez hasonlóan most is a PIN_29-re kötöt K1 nyomófigombot és a PIN_58-re kötöt LED-et használjuk. 30

Felhasznált irodalom ALTERA: MAX II Device Handbook Véfigh János: Bevezetés a Verilog hardverleíró nyelvbe Véfigh János: Sefigédeszközök az Altera DE2 tanulói készlethez Véfigh János: Bevezetés a Qartus II V13 fejlesztő rendszerbe 31