11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

Hasonló dokumentumok
10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

14. TARTALOM FUTTATÁSA A FEJLESZTŐLAP HÉTSZEGMENSES KIJELZŐJÉN

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

5. KOMBINÁCIÓS HÁLÓZATOK LEÍRÁSÁNAK SZABÁLYAI

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

Hardver leíró nyelvek (HDL)

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Ellenőrző mérés mintafeladatok Mérés laboratórium 1., 2011 őszi félév

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

MSP430 programozás Energia környezetben. Hétszegmenses LED kijelzok

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

Digitális technika (VIMIAA02) Laboratórium 3

Digitális technika (VIMIAA02) Laboratórium 3

Programozási segédlet DS89C450 Fejlesztőpanelhez

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Újrakonfigurálható eszközök

Újrakonfigurálható eszközök

Digitális technika (VIMIAA02) Laboratórium 1

Digitális technika (VIMIAA02) Laboratórium 1

Dr. Oniga István DIGITÁLIS TECHNIKA 8

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Mérési jegyzőkönyv. az ötödik méréshez

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

2008. október 9. Verzió

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

A feladatokat önállóan, meg nem engedett segédeszközök használata nélkül oldottam meg: Olvasható aláírás:...

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

ISE makró (saját alkatrész) készítése

Beágyazott és Ambiens Rendszerek Laboratórium BMEVIMIA350. Mérési feladatok az 1., 2. és 3. mérési alkalomhoz

Bevezetés a mikrovezérlők programozásába: MAX6958: Hétszegmenses LED kijelző vezérlő

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ szeptember 19. Verzió

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Hobbi Elektronika. A digitális elektronika alapjai: Sorrendi logikai áramkörök 3. rész

Elektronikus dobókocka tervezési példa

IDAXA-PiroSTOP. PIRINT PiroFlex Interfész. Terméklap

Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

1. Kombinációs hálózatok mérési gyakorlatai

A Picoblaze Core implementálása FPGA-ba

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Újrakonfigurálható eszközök

Vegyes témakörök. A KAT120B kijelző vezérlése Arduinoval

Budapesti Műszaki és Gazdaságtudományi Egyetem. A Verilog HDL II. Nagy Gergely. Elektronikus Eszközök Tanszéke (BME) szeptember 26.

PWM elve, mikroszervó motor vezérlése MiniRISC processzoron

Digitális technika II. (vimia111) 5. gyakorlat: Tervezés adatstruktúra-vezérlés szétválasztással, vezérlőegység generációk

DIGITÁLIS TECHNIKA feladatgyűjtemény

Digitális technika (VIMIAA02) Laboratórium 5.5

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

DIGITÁLIS TECHNIKA I

Digitális technika II. (vimia111) 5. gyakorlat: Mikroprocesszoros tervezés, egyszerű feladatok HW és SW megvalósítása gépi szintű programozással

MSP430 programozás Energia környezetben. LED kijelzok második rész

3.6. HAGYOMÁNYOS SZEKVENCIÁLIS FUNKCIONÁLIS EGYSÉGEK

Gépészmérnöki és Informatikai Kar Automatizálási és Kommunikáció- Technológiai Tanszék

Verilog HDL ismertető 4. hét : hét dia

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

A Verilog HDL áttekintése

Útmutató EDC kézivezérlőhöz

MSP430 programozás Energia környezetben. LED kijelzok második rész

Dr. Oniga István DIGITÁLIS TECHNIKA 4

4. hét: Ideális és valódi építőelemek. Steiner Henriette Egészségügyi mérnök

A gyakorlatokhoz kidolgozott DW példák a gyakorlathoz tartozó Segédlet könyvtárban találhatók.

Digitális technika (VIMIAA02) Laboratórium 2

Újrakonfigurálható eszközök

Előadó: Nagy István (A65)

Vegyes témakörök. 1. Soros vezérlésű LCD számkijelző. Hobbielektronika csoport 2018/2019. Debreceni Megtestesülés Plébánia

Digitális elektronika gyakorlat

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

LOGSYS LOGSYS ECP2 FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ szeptember 18. Verzió

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

Kiegészítő segédlet szinkron sorrendi hálózatok tervezéséhez

VDT-RLC Felhasználói kézikönyv

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

Digitális technika Laboratórium 6.

WiLARM-MICRO GSM Modul Telepítői Útmutató Verzió: 2.0

Nyolcbites számláló mintaprojekt

Digitális technika Xilinx ISE GUI használata

IDAXA-PiroSTOP. BI12KR8 Optocsatolt bemeneti egység 12 bemenettel és Relés kimeneti egység 8 relével. Terméklap 2004/0177/073

Dr. Oniga István DIGITÁLIS TECHNIKA 9

DIGITÁLIS TECHNIKA I

A/D és D/A konverterek vezérlése számítógéppel

E-Laboratórium 1 Kombinációs digitális áramkörök alkalmazása Elméleti leírás

Segédlet a Xilinx Spartan-3 FPGA méréshez

A tervfeladat sorszáma: 1 A tervfeladat címe: ALU egység 8 regiszterrel és 8 utasítással

5. KÓDOLÓ, KÓDÁTALAKÍTÓ, DEKÓDOLÓ ÁRAMKÖRÖK ÉS HAZÁRDOK

Verilog HDL ismertető

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Újrakonfigurálható eszközök

DIGITÁLIS TECHNIKA 8 Dr Oniga. I stván István

Fényerő és LED szalagszabályzás

Operációs rendszerek gyak.

OMRON FOTOELEKTROMOS KAPCSOLÓK E3NT

MSP430 programozás Energia környezetben. LED mátrix vezérlése MAX7219 IC-vel

LOGIKAI TERVEZÉS PROGRAMOZHATÓ. Elő Előadó: Dr. Oniga István

Kombinációs hálózatok és sorrendi hálózatok realizálása félvezető kapuáramkörökkel

Mobil Partner telepítési és használati útmutató

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

DIGITÁLIS TECHNIKA 7. Előadó: Dr. Oniga István

XI. DIGITÁLIS RENDSZEREK FIZIKAI MEGVALÓSÍTÁSÁNAK KÉRDÉSEI Ebben a fejezetben a digitális rendszerek analóg viselkedésével kapcsolatos témákat

Átírás:

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON 1

Számos alkalmazásban elegendő egyszerű, hétszegmenses LED vagy LCD kijelzővel megjeleníteni a bináris formában keletkező tartalmat, elsősorban a bináris formában kódolt decimális számjegyeket. Ennél a tervezésnél a BCD kódot a Basys2 fejlesztőlap kapcsolóival hozzuk létre, a lapon található LED kijelző jeleníti meg a tartalmat. A tervezendő hálózat tisztán kombinációs jellegű. Ebből adódóan nincs szükség órajelre. 2

11.1. ÚJ PROJEKT LÉTREHOZÁSA Az új projekt létrehozása ugyanúgy történik, ahogy azt az előző példában (10.1) láttuk. A beállítások ablakban (Project Settings) a korábban megnyitott projekt beállításai jelennek meg. Ha nem akarunk áttérni másik FPGA eszközre, akkor nem kell semmit igazítani a beállításokon. 3

11.2. FELADAT DEFINIÁLÁSA A fejlesztőlapon az SW0...SW7 kapcsolók a bemutatott módon vannak bekötve. Úgynevezett váltókapcsolókról van szó, amelyek alsó állásban logikai nullát, a felső állásban logikai egyest hoznak az FPGA eszköz megfelelő kivezetéseire (jobb oldalon). 4

A 5

Nincs szükség felhúzó ellenállásokra, viszont minden bemeneten van egy-egy soros ellenállás. Ennek a szerepe, hogy megakadályozza az FPGA kivezetésének túlterhelését, ha tévedésből az adott kivezetést kimenetnek definiálnánk és a kapcsoló állásával ellentétes logikai szintet hoznánk. A hétszegmenses-, négy számjegyes kijelzőt a Basys2 fejlesztőlapon a következő módon ábrázják 6

A 7

11.3. TERV HDL LEÍRÁSA A kódátalakító Verilog nyelvi leírását alább láthatjuk. Jelen esetben csak egy számjegynek kell működnie. Ezt a megfelelő adatfolyam szintű kódrészlettel (assign) oldottuk meg az AN0 jel kap csak logikai nulla értéket, így a jobb szélső kijelző anódját húzzuk csak magas logikai szintre. 8

A szükséges kódátalakítást az always eljárásban szereplő case szerkezettel írtuk le. Tekintettel arra, hogy az always eljárás érzékeny bármely bemeneti jel változására (csillag), a szintézer kombinációs hálózatot fog előállítani. Ennek ellenére, formális okokból a szegmenseket meghajtó SSD jeleket regiszter típusúra kell deklarálni (de a szintézer nem hoz létre regisztert). 9

module BCD2SSD(input[3:0] BCD, output reg [6:0] SSD, output AN0, output AN1, output AN2, output AN3); //LED segments abc_defg localparam [6:0] BLANK= 7'b111_1111, ZERO = 7'b000_0001, ONE = 7'b100_1111, TWO = 7'b001_0010, THREE = 7'b000_0110, FOUR = 7'b100_1100, 10

FIVE = 7'b010_0100, SIX = 7'b010_0000, SEVEN = 7'b000_1111, EIGHT = 7'b000_0000, NINE = 7'b000_0100; assign {AN3, AN2, AN1, AN0} = 4'b1110; always@(*) 11

begin case(bcd) 4'd0: SSD = ZERO; 4'd1: SSD = ONE; 4'd2: SSD = TWO; 4'd3: SSD = THREE; 4'd4: SSD = FOUR; 4'd5: SSD = FIVE; 4'd6: SSD = SIX; 4'd7: SSD = SEVEN; 4'd8: SSD = EIGHT; 4'd9: SSD = NINE; default: SSD = BLANK; endcase end endmodule 12

11.4. FELHASZNÁLÓI MEGKÖTÉSEK BEÁLLÍTÁSA A modulnak négy bemenete van: négy kapcsolóval adjuk meg a megjelenítendő szám BCD kódját. A megkötések fájlban a négy első sor erre vonatkozik. Az SW0, SW1, SW2, SW3 kapcsolókkal összekötött FPGA kivezetések elnevezéseit leolvashatjuk a Basys2 lap felirataiból, vagy a lap kapcsolási rajzáról. 13

A kimenetek száma 7+4. Hét kimenet a LED kijelző egyes szegmenseit vezérli, a további négy kimenet az egyes számjegyeket kapcsolja az LED kijelző közös anódján keresztül. Esetünkben egyetlen számjegy kell, hogy működjön, a többiek a HDL leírás szerint le vannak tiltva. Letiltás nélkül a kijelző zavarérzékeny, a nem használt számjegyeket szabálytalanul ki-be kapcsolgatja, ez miatt csökkentett fényerővel ugyan, de minden szegmens világítana. 14

11.5. LOGIKAI SZINTÉZIS A szintézert a Processes ablak Synthesize XST gombjára kattintva indítjuk el. Valójában célszerű mindjárt első lépésben a Generating Programming File gombra kattintani, ekkor az egész folyamat automatikusan végbemegy. A szintézis eredményét a Design Summary ablakban ellenőrizhetjük. Ebben a példában hét LUT valósítja meg a hét szegmens dekódolását a rendelkezésre álló 4896 közül. 15

11.6. HARDVERES PROGRAMOZÁS ÉS A MŰKÖDÉS ELLENŐRZÉSE A programozáshoz az Adept szoftvert használjuk a 10.6 pontban leírt módon. Ha a fent megadott HDL leírás szerint végezzük el a programozást, megfigyelhetjük, hogy az alkalmazott kijelzőn halványan ugyan, de világít a tizedespont. A tizedesponttal nem foglalkoztunk a leírásban, így az szándékos vezérlést nem kap. A világítás oka éppen ez: vezérlés nélkül a zavarok jutnak kifejezésre és időnként bekapcsolják a tizedespontot. 16