Bevezető az ISE rendszer használatához

Hasonló dokumentumok
Bev Be e v z e e z t e ő t az ISE re r nds nds e z r e használatához

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István

1 Laboratóriumi gyakorlat

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Előadó: Dr. Oniga István

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

DIGITÁLIS TECHNIKA 13. Dr. Oniga István

10. EGYSZERŰ HÁLÓZATOK TERVEZÉSE A FEJLESZTŐLAPON Ennél a tervezésnél egy olyan hardvert hozunk létre, amely a Basys2 fejlesztőlap két bemeneti

Laborgyakorlat 3 A modul ellenőrzése szimulációval. Dr. Oniga István

PROTOTÍPUSKÉSZÍTÉS. Előadó: Dr. Oniga István

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

PROGRAMOZHATÓ LOGIKAI ESZKÖZÖK. Elıadó: Dr. Oniga István Egytemi docens

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

LOGIKAI TERVEZÉS. Előadó: Dr. Oniga István Egytemi docens

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Tervezési módszerek programozható logikai eszközökkel

Mikrorendszerek tervezése

Digitális technika Xilinx ISE GUI használata

ISE makró (saját alkatrész) készítése

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

DIGITÁLIS TECHNIKA. Szabó Tamás Dr. Lovassy Rita - Tompos Péter. Óbudai Egyetem Kandó Kálmán Villamosmérnöki Kar LABÓRATÓRIUMI ÚTMUTATÓ

11. KÓDÁTALAKÍTÓ TERVEZÉSE HÉTSZEGMENSES KIJELZŐHÖZ A FEJLESZTŐLAPON

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

A Picoblaze Core implementálása FPGA-ba

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

LOGSYS EGYSZERŰ ALKALMAZÁS KÉSZÍTÉSE A LOGSYS KINTEX-7 FPGA KÁRTYÁRA A XILINX VIVADO FEJLESZTŐI KÖRNYEZET HASZNÁLATÁVAL június 16. Verzió 1.

3. A DIGILENT BASYS 2 FEJLESZTŐLAP LEÍRÁSA

Bevezető az ISE 11.2 rendszer használatához

Segédlet a Xilinx Spartan-3 FPGA méréshez

LOGIKAI TERVEZÉS HARDVERLEÍRÓ NYELVEN. Dr. Oniga István

Nyolcbites számláló mintaprojekt

Digitális technika (VIMIAA02) Laboratórium 1

1. Kombinációs hálózatok mérési gyakorlatai

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

Digitális technika (VIMIAA02) Laboratórium 1

2008. október 9. Verzió

Újrakonfigurálható eszközök

A ChipScope logikai analizátor

Bevezető az ISE 13.2 rendszer használatához

Perifériák hozzáadása a rendszerhez

Digitális elektronika gyakorlat. A VHDL leírástípusok

Attribútumok, constraint-ek

Programozás és digitális technika II. Logikai áramkörök. Pógár István Debrecen, 2016

Digitális Technika. Dr. Oniga István Debreceni Egyetem, Informatikai Kar

Programmable Chip. System on a Chip. Lazányi János. Tartalom. A hagyományos technológia SoC / PSoC SoPC Fejlesztés menete Mi van az FPGA-ban?

Bevezető az ISE 13.2 rendszer használatához

Laborgyakorlat Logikai áramkörök számítógéppel segített tervezése (CAD)

2004 Xilinx, Inc. All Rights Reserved. EDK Overview Xilinx, Inc. All Rights Reserved

1. Kombinációs hálózatok mérési gyakorlatai

Digitális technika (VIMIAA02) Laboratórium 4

A Memory Interface Generator (MIG) beállítása a Logsys Kintex-7 FPGA kártyához

Constraint-ek. Fehér Béla Szántó Péter, Lazányi János, Raikovich Tamás BME MIT FPGA laboratórium

Dr. Kincses Zoltán, Dr. Vörösházi Zsolt: FPGA-alapú beágyazott rendszerek tervezése

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, Minden jog fenntartva

TI TMDSEVM6472 rövid bemutatása

Oktatási Segédlet a Xilinx ISE 14.7 szoftver használatához

Integrált áramkörök/6 ASIC áramkörök tervezése

Digitális technika (VIMIAA02) Laboratórium 3

LOGSYS LOGSYS SPARTAN-3E FPGA KÁRTYA FELHASZNÁLÓI ÚTMUTATÓ szeptember 19. Verzió

Digitális technika (VIMIAA02) Laboratórium 3

Hardver leíró nyelvek (HDL)

FPGA alapú áramkörök fejlesztése a Xilinx ISE Webpack használatával

Hobbi Elektronika. A digitális elektronika alapjai: További logikai műveletek

Csatlakozás a BME eduroam hálózatához Setting up the BUTE eduroam network

Digitális technika (VIMIAA02) Laboratórium 5.5

Digitális technika (VIMIAA02) Laboratórium 4

Mérési jegyzőkönyv. az ötödik méréshez

Bemutatás. Elrendezés. Leírás. Műszaki adatok. Funkciók

Hobbi Elektronika. A digitális elektronika alapjai: Újrakonfigurálható logikai eszközök

Digitális eszközök típusai

Kombinációs áramkörök modelezése Laborgyakorlat. Dr. Oniga István

12. NYOMÓGOMBOK ÉS KAPCSOLÓK PERGÉSMENTESÍTÉSE A FEJLESZTŐLAPON

Szoftvertelepítési útmutató NPD HU

Kézikönyv ABAS-TOOLS használata

Tartalom jegyzék 1 BEVEZETŐ SZOFTVER ÉS HARDVER KÖVETELMÉNYEK 2 2 TELEPÍTÉS 2 3 KEZELÉS 5

Tájékoztató a kollégiumi internet beállításához

1. Gyakorlat: Telepítés: Windows Server 2008 R2 Enterprise, Core, Windows 7

A LOGSYS GUI. Fehér Béla Raikovich Tamás, Laczkó Péter BME MIT FPGA laboratórium

U42S Felhasználási példák Gitárjáték rögzítése

FPGA fejlesztés a Xilinx ISE Webpack-ben

SQL Backup and FTP. A program telepítésének menete. A szoftvert a következő weboldalról ingyenesen tölthető le:

A Xilinx FPGA-k. A programozható logikákr. Az FPGA fejlesztés s menete. BMF KVK MAI, Molnár Zsolt, 2008.

Programozási technológia

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technikai alapáramkörök labormérése

Joint Test Action Group (JTAG)

A First Businesspost Sender Cockpit használata

Telepítési útmutató a Solid Edge ST7-es verziójához Solid Edge

Digitális rendszerek tervezése FPGA áramkörökkel Szimuláció és verifikáció

Termékinformáció Adapter A: Video C: USB B: SVHS D: Jelzőlámpa az USB-porthoz csatlakoztatva világít

T Bird 2. AVR fejlesztőpanel. Használati utasítás. Gyártja: BioDigit Kft. Forgalmazza: HEStore.hu webáruház. BioDigit Kft, Minden jog fenntartva

HDL tervezés. Gábor Bata FPGA Developer Microwave Networks Ericsson Hungary Ltd.

Bevezetés a Xilinx PLD-k és az ISE WebPACK alkalmazásába

NPS-520. Rövid kezelési útmutató. MFP nyomtatókiszolgáló. Verziószám kiadás 2007/1. Copyright Minden jog fenntartva.

T Á J É K O Z T A T Ó. A 1108INT számú nyomtatvány a webcímen a Letöltések Nyomtatványkitöltő programok fülön érhető el.

Bluetooth Software frissítés leírása Windows 7 rendszerhez IVE-W530BT

Digitális technika (VIMIAA01) Laboratórium 2

Digitális technika (VIMIAA02) Laboratórium 2

Internetkonfigurációs követelmények. A számítógép konfigurálása. Beállítások Windows XP alatt

Átírás:

Bevezető az ISE rendszer használatához

Fejlesztő rendszerek Terv leírás: (Design Entry) Xilinx Foundation ISE Külső eszköz Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Szintézis terv: (Design Synthesis) XST: Xilinx Synthesis Technology Mentor: Leonardo Spectrum Synplicity: Synplify Pro Celoxica: DK Design Suite Szimuláció: Mentor: Modelsim Aldec: Active-HDL Celoxica: DK Design Suite In Circuit verifikáció: Xilinx: ChipScope

Az ISE rendszer részei Xilinx ISE - Integrated Software Environment integrált szoftverkörnyezet a Xilinx cég FPGA-ihoz és CPLD-ihez kifejlesztett szoftver. ISE WebPack - ISE rendszer egyszerőbb, de funkcionálisan komplett változata csak a Xilinx cég IC-ivel való implementálást támogatja, nem támogatja az összes család összes IC-jét, hanem tipikusan csak a kisebb komplexitásúakat, ingyenes szoftver, szabadon letölthetı.

Fejlesztés folyamata Project Navigator szoftver, az ISE keretprogram Rendszertervezés (Terv leírás + tervezési megkötések constraints) RTL szimuláció - Tesztkörnyezet ( Testbench ) Szintézis Implementáció: TRANSLATE MAP PAR (place & route) Statikus idızítési analizis: timing parameters meghatározása (max clock frequency, propagation delays etc.) Bitstream generálása és letöltése (konfigurációs file -.bit)

Terv leírás A tervezı az elképzeléseit, terveit háromféle formában viheti be a rendszerbe. Kapcsolási rajz (Schematic) formájában, a Xilinx ECS (Engineering Capture System), a kapcsolási rajz készítı és beviteli program segítségével. Hardver leíró nyelven. Ezt a bevitelt a HDL editor rész támogatja. A támogatott nyelvek: ABELHDL, Verilog és VHDL. A rendszer sok mintaleírást is tartalmaz, úgynevezett sablonok (template) formájában. Állapotgép. Lehetıség van arra is, hogy a tervezı az elképzelt sorrendi hálózat mőködését állapotgráf formájában adja meg. Ezt a StateCAD alrendszer segíti, mellyel megrajzolható az állapotgráf, majd ezután a StateCAD az állapotgráfból HDL leírást is tud készíteni.

Terv verifikálása Azt ellenőrizzük, hogy a terv szerinti áramkör működése megfelel-e a feladat specifikációjának. A verifikálás szimulációval történik. A WebPACK rendszer szimulátora a Xilinx ISE Simulator. A modellt működtetni, "gerjeszteni" kell, - a modell bemeneteire megfelelően változó jeleket kell adni. Ez az tesztvektorok sorozatának ráadásával történik. A tesztvektorokat a tervező beleírhatja a HDL leírásba, mint tesztelési környezet(testbench).

Szintézis Xilinx Synthesis Technology (XST) alrendszer végez, amely ugyancsak az ISE része (szintézisre léteznek más programok is). A szintézer a HDL leírásból előállít egy minimalizált és optimalizált huzalozási listát, amely az adott FPGA primitíveket (LUT, FF), és a köztük levő kapcsolatokat tartalmazza.

Implementáció TRANSLATE MAP PAR (place& route) TRANSLATE: több, esetleg eltérő nyelven megírt tervezői file (HDL) összerendelése (merge) egyetlen netlist- fájlba(edif) MAP = technology mapping: leképzés az adott FPGA primitív-készletére (a kapukat CLB-é, ill. IOB-á képezi le ) PAR: a végleges fizikai áramkört hozza létre amelyben a primitíveket fizikai helyekre rak és kialakítja a fizikai huzalozást(routing).

Konfigurálás Bitstream-konfigurációs file (.bit) generálása letöltése soros interfészen keresztül (JTAG) IMPACT program végzi

Xilinx ISE bemutatása Sources window (források) Munka ablak (editor) Process window (feldolgozások ) Console (üzenet ablak)

A project létrehozása A fejlesztıkörnyezet elindítása: Start -> Programs\Xilinx ISE Design Suite 12.3\ISE Design Tools\Project Navigator. Új projekt (File New Project) - minden projektnek külön könyvtárat hoz létre, Projektünk neve legyen elso_rajz (javaslat), Legmagasabb szintő forrásként kapcsolási rajz alapú (schematic) típust adjunk meg!

FPGA tulajdonságainak beállítása A Next gombra kattintás után megjelenő Device Properties mezőben a Value oszlop legördülő listáiból válassza az alábbi értékeket: Device Family: Spartan3E Device: xc3s100e Package: CP132 Speed Grade: -4 Synthesis Tool: XST (VHDL/Verilog) Simulator: ISim (VHDL/Verilog) A Next, majd Finish gombra kattintva elkészül az üres project.

Új forrás hozzáadása Hozzunk létre forrás fájlt (Project New Source...)! A forrásunk típusa schematic, a neve logikai_kapuk! Amennyiben nem történt meg automatikusan, adjuk hozzá a forrás fájlt a projektünkhöz (Project Add Source...)

Kapcsolási rajz alapú projekt Kapcsolási rajz szerkesztő ablak

A feladat Logikai kapuk implementációja

A parancsok illetve parancsikonok Nevezzük el a különböző busz-elnevezéseket és az I/O markereket! Vezeték, busz elnevezése: Add Net Name (a bal alsó ablakban az Options fülnél a Name sorba írjuk a nevet, majd kattintsunk az elnevezni kívánt vezetékre. Az esztétikus kivitelezésre törekedve ügyeljünk, hogy a vezeték elég hosszú legyen a felirat elhelyezéséhez!).5 I/O marker elnevezése: dupla kattintás az I/O markeren, a felugró ablakban Name mező átírása.

Constraints file A láb-hozzárendelések elvégzéséhez egy constraint fájlt adunk a projecthez. Válasszuk ki a Project / New Source menüpontot, a felbukkanó ablakban pedig álljunk az Implementation Constraint File-ra, névnek pedig válasszuk a elso-t. Next/Finish gomb megnyomása után a Sources ablakban meg is jelenik a elso.ucf fájl. Ha sikeresen lelestük a panelről a használt lábak nevét, az alábbihoz egészen hasonlatos ucf filet kapunk NET "btn0" LOC = G12" ; NET "btn1" LOC = C11" ; NET "led0" LOC = M5" ; NET "led1" LOC = M11" ; NET "led2" LOC = P7" ; NET "led3" LOC = P6" ; NET "led4" LOC = N5" ; NET "led5" LOC = N4" ; NET "led6" LOC = P4" ;

A terv implementációja A terv leképzése az FPGA struktúrára (Implement Design), View implementation Hierarchyablak a top level fájl Processes ablak Implement Design Konfigurációs bitminta létrehozása

Az FPGA konfigurálása Tényleges realizálás az FPGA konfigurálásával (beprogramozásával), az előző műveletben létrehozott.bit konfigurációs fájlnak az FPGA-ba való letöltésével történik. Digilent Basys 2 kártja: http://www.digilentinc.com/products/detail.cfm?navpath=2,400,790&prod=basys2 Digilent Adept Suite https://www.digilentinc.com/products/detail.cfm?navpath=2,66,828&prod=adept2 Basys 2 reference manual http://www.digilentinc.com/data/products/basys2/basys2_rm.pdf

Digilent Basys 2 Xilinx Spartan 3-E FPGA, 100K gate 18-bit multipliers 72Kbits of fast dual-port block RAM, and 500MHz+ operation USB 2 full-speed port for FPGA configuration and data transfers (using Adept 2.0) XCF02 Platform Flash ROM that stores FPGA configurations indefinitely User-settable oscillator frequency (25, 50, and 100 MHz), plus socket for a second oscillator Three on-board voltage regulators (1.2V, 2.5V, and 3.3V) that allow use of 3.5V-5.5V external supplies 8 LEDs, 4-digit seven-segment display, four pushbuttons, 8 slide switches, PS/2 port, and a 8-bit VGA port Four 6-pin headers for user I/Os, and attaching Digilent PMOD accessory circuit boards Requires Adept 2.0 or later for operation

Digilent Adept suite Digilent Adept is a unique and powerful solution which allows you to communicate with Digilent system boards and a wide assortment of logic devices. ADEPT for Windows Adept 2 provide JTAG configuration and data transfering Also adds board verification and I/O expansion features. Configure the Xilinx logic devices. Initialize a scan chain, program FPGAs, CPLDs, and PROMs, organize and keep track of your configuration files Transfer data to and from the onboard FPGA on your system board. Read from and write to specified registers. Load a stream of data to a register or read a stream of data from a register. Organize and quickly connect to your communications modules. Program Xilinx XCFS Platform Flash devices using.bit or.mcs files. Program Xilinx CoolRunner2 CPLDs using.jed files. Program most Spartan and Virtex series FPGAs with.bit files

Digilent Adept szoftver 1 2 FPGA konfigurálás:.bit (generált konfigurációs fájl)

KÁRTYA TESZTELÉSE Start Teszt: RAM Flash Kapcsolok Nyomógombok LED-ek 7 Szegmenses kijelző

Eredmények A btn0 és btn1 nyomógombok megnyomásával alítsa elő a négy lehetséges bemeneti kombinációt és írja be a led-ek megfelelő állapotijait Ellenőrizze a minden logikai függvények igaság táblázatát btn0 btn1 led0 NOT led1 AND led2 OR led3 NAND led4 NOR led5 XOR led6 XNOR 0 0 0 1 1 0 1 1

Új feladat Logikai kapuk implementációja HDL nyelven

A project létrehozása A fejlesztıkörnyezet elindítása: Start -> Programs\Xilinx ISE Design Suite 12.3\ISE Design Tools\Project Navigator. Új projekt (File New Project) - minden projektnek külön könyvtárat hoz létre, Projektünk neve legyen elsohdl (javaslat), Legmagasabb szintő forrásként HDL típust adjunk meg!

FPGA tulajdonságainak beállítása A Next gombra kattintás után megjelenő Device Properties mezőben a Value oszlop legördülő listáiból válassza az alábbi értékeket: Device Family: Spartan3E Device: xc3s100e Package: CP132 Speed Grade: -4 Synthesis Tool: XST (VHDL/Verilog) Simulator: ISim (VHDL/Verilog) A Next, majd Finish gombra kattintva elkészül az üres project.

Tervezői file (HDL) hozzáadása Hozzunk létre forrás fájlt (Project New Source...)! A forrásunk típusa Verilog Module, a neve ElsoHDL! Amennyiben nem történt meg automatikusan, adjuk hozzá a forrás fájlt a projektünkhöz (Project Add Source...)

Portok megadása

Generált HDL fájl

Generált HDL fájl Ide kerül a felhasználói kódrészlet

Láb-hozzárendelés egy constraint fájlt adunk a projekthez. Válasszuk ki a Project / Add Copyof Sourcemenüpontot, a felbukkanó ablakban pedig keresükmeg az elözöprojektben használt eslo.ucf fájlt. OK gomb megnyomása után a Sources ablakban meg is jelenik a elso.ucf fájl.

Láb-hozzárendelés egy constraint fájlt adunk a projekthez.

Eredmények A btn0 és btn1 nyomógombok megnyomásával alítsa elő a négy lehetséges bemeneti kombinációt és írja be a led-ek megfelelő állapotijait Ellenőrizze a minden logikai függvények igaság táblázatát btn0 btn1 led0 NOT led1 AND led2 OR led3 NAND led4 NOR led5 XOR led6 XNOR 0 0 0 1 1 0 1 1

Schematic feladat btn0 btn1 led0=(ab) led1=a +B 0 0 0 1 1 0 1 1

HDL feladat btn0 btn1 led0=(ab) led1=a +B 0 0 0 1 1 0 1 1

Új feladat NET sw<0>" LOC="P11"; NET "sw<1>" LOC= L3"; NET "sw<2>" LOC= K3"; NET "sw<3>" LOC= B4";

Új feladat module gates4b ( input [3:0] x, output and4_, output or4_, output xor4_ ); assign and4_ = &x; assign or4_ = x; assign xor4_ = ^x; endmodule NET "x<0>" LOC="P11 "; NET "x<1>" LOC="L3 "; NET "x<2>" LOC="K3 "; NET "x<3>" LOC="B4 ";